blob: b93bc32d46f256b59bb421ffb58d9269d3ee5c99 [file] [log] [blame]
developer20747c12022-09-16 14:09:40 +08001From dcaf9bdc667b94263fd95971d7a920934a7a1725 Mon Sep 17 00:00:00 2001
developerf64861f2022-06-22 11:44:53 +08002From: Shayne Chen <shayne.chen@mediatek.com>
3Date: Wed, 22 Jun 2022 10:39:47 +0800
developer5ce5ea42022-08-31 14:12:29 +08004Subject: [PATCH 1001/1009] mt76: mt7915: add mtk internal debug tools for mt76
developere2cc0fa2022-03-29 17:31:03 +08005
6---
developer5ce5ea42022-08-31 14:12:29 +08007 mt76_connac_mcu.h | 6 +
8 mt7915/Makefile | 2 +-
9 mt7915/debugfs.c | 73 +-
10 mt7915/mac.c | 14 +
11 mt7915/main.c | 4 +
12 mt7915/mcu.c | 44 +
13 mt7915/mcu.h | 4 +
14 mt7915/mt7915.h | 43 +
15 mt7915/mt7915_debug.h | 1350 +++++++++++++++++++
16 mt7915/mtk_debugfs.c | 2923 +++++++++++++++++++++++++++++++++++++++++
17 mt7915/mtk_mcu.c | 51 +
18 tools/fwlog.c | 44 +-
developerc115a812022-06-22 15:29:14 +080019 12 files changed, 4545 insertions(+), 13 deletions(-)
developer5ce5ea42022-08-31 14:12:29 +080020 create mode 100644 mt7915/mt7915_debug.h
21 create mode 100644 mt7915/mtk_debugfs.c
22 create mode 100644 mt7915/mtk_mcu.c
developere2cc0fa2022-03-29 17:31:03 +080023
24diff --git a/mt76_connac_mcu.h b/mt76_connac_mcu.h
developer20747c12022-09-16 14:09:40 +080025index 31017218..89732676 100644
developere2cc0fa2022-03-29 17:31:03 +080026--- a/mt76_connac_mcu.h
27+++ b/mt76_connac_mcu.h
developer20747c12022-09-16 14:09:40 +080028@@ -1127,6 +1127,12 @@ enum {
developere2cc0fa2022-03-29 17:31:03 +080029 MCU_EXT_CMD_TX_POWER_FEATURE_CTRL = 0x58,
30 MCU_EXT_CMD_RXDCOC_CAL = 0x59,
31 MCU_EXT_CMD_GET_MIB_INFO = 0x5a,
32+#ifdef MTK_DEBUG
33+ MCU_EXT_CMD_RED_ENABLE = 0x68,
34+ MCU_EXT_CMD_RED_SHOW_STA = 0x69,
35+ MCU_EXT_CMD_RED_TARGET_DELAY = 0x6A,
36+ MCU_EXT_CMD_RED_TX_RPT = 0x6B,
37+#endif
38 MCU_EXT_CMD_TXDPD_CAL = 0x60,
39 MCU_EXT_CMD_CAL_CACHE = 0x67,
40 MCU_EXT_CMD_SET_RADAR_TH = 0x7c,
41diff --git a/mt7915/Makefile b/mt7915/Makefile
developer20747c12022-09-16 14:09:40 +080042index b794ceb7..a3474e2f 100644
developere2cc0fa2022-03-29 17:31:03 +080043--- a/mt7915/Makefile
44+++ b/mt7915/Makefile
45@@ -3,7 +3,7 @@
46 obj-$(CONFIG_MT7915E) += mt7915e.o
47
48 mt7915e-y := pci.o init.o dma.o eeprom.o main.o mcu.o mac.o \
49- debugfs.o mmio.o
50+ debugfs.o mmio.o mtk_debugfs.o mtk_mcu.o
51
52 mt7915e-$(CONFIG_NL80211_TESTMODE) += testmode.o
53 mt7915e-$(CONFIG_MT7986_WMAC) += soc.o
54\ No newline at end of file
55diff --git a/mt7915/debugfs.c b/mt7915/debugfs.c
developer20747c12022-09-16 14:09:40 +080056index a4e37a52..ea512fd1 100644
developere2cc0fa2022-03-29 17:31:03 +080057--- a/mt7915/debugfs.c
58+++ b/mt7915/debugfs.c
59@@ -8,6 +8,9 @@
60 #include "mac.h"
61
62 #define FW_BIN_LOG_MAGIC 0x44e98caf
63+#ifdef MTK_DEBUG
64+#define FW_BIN_LOG_MAGIC_V2 0x44d9c99a
65+#endif
66
67 /** global debugfs **/
68
developer68e1eb22022-05-09 17:02:12 +080069@@ -448,6 +451,9 @@ mt7915_fw_debug_wm_set(void *data, u64 val)
developere2cc0fa2022-03-29 17:31:03 +080070 int ret;
71
developerbd398d52022-06-06 20:53:24 +080072 dev->fw.debug_wm = val ? MCU_FW_LOG_TO_HOST : 0;
developere2cc0fa2022-03-29 17:31:03 +080073+#ifdef MTK_DEBUG
developerbd398d52022-06-06 20:53:24 +080074+ dev->fw.debug_wm = val;
developere2cc0fa2022-03-29 17:31:03 +080075+#endif
76
developerbd398d52022-06-06 20:53:24 +080077 if (dev->fw.debug_bin)
developere2cc0fa2022-03-29 17:31:03 +080078 val = 16;
developer68e1eb22022-05-09 17:02:12 +080079@@ -472,6 +478,9 @@ mt7915_fw_debug_wm_set(void *data, u64 val)
developere2cc0fa2022-03-29 17:31:03 +080080 if (ret)
developerbd398d52022-06-06 20:53:24 +080081 goto out;
developere2cc0fa2022-03-29 17:31:03 +080082 }
83+#ifdef MTK_DEBUG
84+ mt7915_mcu_fw_dbg_ctrl(dev, 68, !!val);
85+#endif
86
87 /* WM CPU info record control */
88 mt76_clear(dev, MT_CPU_UTIL_CTRL, BIT(0));
developer68e1eb22022-05-09 17:02:12 +080089@@ -479,6 +488,12 @@ mt7915_fw_debug_wm_set(void *data, u64 val)
developere2cc0fa2022-03-29 17:31:03 +080090 mt76_wr(dev, MT_MCU_WM_CIRQ_IRQ_MASK_CLR_ADDR, BIT(5));
91 mt76_wr(dev, MT_MCU_WM_CIRQ_IRQ_SOFT_ADDR, BIT(5));
92
93+#ifdef MTK_DEBUG
developerbd398d52022-06-06 20:53:24 +080094+ if (dev->fw.debug_bin & BIT(3))
developere2cc0fa2022-03-29 17:31:03 +080095+ /* use bit 7 to indicate v2 magic number */
developerbd398d52022-06-06 20:53:24 +080096+ dev->fw.debug_wm |= BIT(7);
developere2cc0fa2022-03-29 17:31:03 +080097+#endif
98+
developerbd398d52022-06-06 20:53:24 +080099 out:
100 if (ret)
101 dev->fw.debug_wm = 0;
102@@ -491,7 +506,11 @@ mt7915_fw_debug_wm_get(void *data, u64 *val)
developere2cc0fa2022-03-29 17:31:03 +0800103 {
104 struct mt7915_dev *dev = data;
105
developerbd398d52022-06-06 20:53:24 +0800106- *val = dev->fw.debug_wm;
developere2cc0fa2022-03-29 17:31:03 +0800107+#ifdef MTK_DEBUG
developerbd398d52022-06-06 20:53:24 +0800108+ *val = dev->fw.debug_wm & ~BIT(7);
developere2cc0fa2022-03-29 17:31:03 +0800109+#else
developerbd398d52022-06-06 20:53:24 +0800110+ val = dev->fw.debug_wm;
developere2cc0fa2022-03-29 17:31:03 +0800111+#endif
112
113 return 0;
114 }
developerbd398d52022-06-06 20:53:24 +0800115@@ -576,6 +595,17 @@ mt7915_fw_debug_bin_set(void *data, u64 val)
developere2cc0fa2022-03-29 17:31:03 +0800116
117 relay_reset(dev->relay_fwlog);
118
119+#ifdef MTK_DEBUG
120+ dev->dbg.dump_mcu_pkt = val & BIT(4) ? true : false;
121+ dev->dbg.dump_txd = val & BIT(5) ? true : false;
122+ dev->dbg.dump_tx_pkt = val & BIT(6) ? true : false;
123+ dev->dbg.dump_rx_pkt = val & BIT(7) ? true : false;
124+ dev->dbg.dump_rx_raw = val & BIT(8) ? true : false;
125+ if (!(val & GENMASK(3, 0)))
126+ return 0;
127+#endif
128+
developerbd398d52022-06-06 20:53:24 +0800129+
130 return mt7915_fw_debug_wm_set(dev, dev->fw.debug_wm);
developere2cc0fa2022-03-29 17:31:03 +0800131 }
132
developerbd398d52022-06-06 20:53:24 +0800133@@ -1038,6 +1068,11 @@ int mt7915_init_debugfs(struct mt7915_phy *phy)
developere2cc0fa2022-03-29 17:31:03 +0800134 if (!ext_phy)
135 dev->debugfs_dir = dir;
136
137+#ifdef MTK_DEBUG
138+ debugfs_create_u16("wlan_idx", 0600, dir, &dev->wlan_idx);
139+ mt7915_mtk_init_debugfs(phy, dir);
140+#endif
141+
142 return 0;
143 }
144
developerbd398d52022-06-06 20:53:24 +0800145@@ -1078,17 +1113,53 @@ void mt7915_debugfs_rx_fw_monitor(struct mt7915_dev *dev, const void *data, int
developere2cc0fa2022-03-29 17:31:03 +0800146 .msg_type = cpu_to_le16(PKT_TYPE_RX_FW_MONITOR),
147 };
148
149+#ifdef MTK_DEBUG
150+ struct {
151+ __le32 magic;
152+ u8 version;
153+ u8 _rsv;
154+ __le16 serial_id;
155+ __le32 timestamp;
156+ __le16 msg_type;
157+ __le16 len;
158+ } hdr2 = {
159+ .version = 0x1,
160+ .magic = cpu_to_le32(FW_BIN_LOG_MAGIC_V2),
161+ .msg_type = PKT_TYPE_RX_FW_MONITOR,
162+ };
163+#endif
164+
165 if (!dev->relay_fwlog)
166 return;
167
168+#ifdef MTK_DEBUG
169+ /* old magic num */
developerbd398d52022-06-06 20:53:24 +0800170+ if (!(dev->fw.debug_wm & BIT(7))) {
developere2cc0fa2022-03-29 17:31:03 +0800171+ hdr.timestamp = mt76_rr(dev, MT_LPON_FRCR(0));
172+ hdr.len = *(__le16 *)data;
173+ mt7915_debugfs_write_fwlog(dev, &hdr, sizeof(hdr), data, len);
174+ } else {
175+ hdr2.serial_id = dev->dbg.fwlog_seq++;
176+ hdr2.timestamp = mt76_rr(dev, MT_LPON_FRCR(0));
177+ hdr2.len = *(__le16 *)data;
178+ mt7915_debugfs_write_fwlog(dev, &hdr2, sizeof(hdr2), data, len);
179+ }
180+#else
181 hdr.timestamp = cpu_to_le32(mt76_rr(dev, MT_LPON_FRCR(0)));
182 hdr.len = *(__le16 *)data;
183 mt7915_debugfs_write_fwlog(dev, &hdr, sizeof(hdr), data, len);
184+#endif
185 }
186
187 bool mt7915_debugfs_rx_log(struct mt7915_dev *dev, const void *data, int len)
188 {
189+#ifdef MTK_DEBUG
190+ if (get_unaligned_le32(data) != FW_BIN_LOG_MAGIC &&
191+ get_unaligned_le32(data) != FW_BIN_LOG_MAGIC_V2 &&
192+ get_unaligned_le32(data) != PKT_BIN_DEBUG_MAGIC)
193+#else
194 if (get_unaligned_le32(data) != FW_BIN_LOG_MAGIC)
195+#endif
196 return false;
197
198 if (dev->relay_fwlog)
199diff --git a/mt7915/mac.c b/mt7915/mac.c
developer20747c12022-09-16 14:09:40 +0800200index 11d2866b..00218624 100644
developere2cc0fa2022-03-29 17:31:03 +0800201--- a/mt7915/mac.c
202+++ b/mt7915/mac.c
developerf64861f2022-06-22 11:44:53 +0800203@@ -239,6 +239,10 @@ mt7915_mac_fill_rx(struct mt7915_dev *dev, struct sk_buff *skb)
developere2cc0fa2022-03-29 17:31:03 +0800204 __le16 fc = 0;
205 int idx;
206
207+#ifdef MTK_DEBUG
208+ if (dev->dbg.dump_rx_raw)
209+ mt7915_packet_log_to_host(dev, skb->data, skb->len, PKT_BIN_DEBUG_RX_RAW, 0);
210+#endif
211 memset(status, 0, sizeof(*status));
212
213 if ((rxd1 & MT_RXD1_NORMAL_BAND_IDX) && !phy->band_idx) {
developerf64861f2022-06-22 11:44:53 +0800214@@ -421,6 +425,10 @@ mt7915_mac_fill_rx(struct mt7915_dev *dev, struct sk_buff *skb)
developere2cc0fa2022-03-29 17:31:03 +0800215 }
216
217 hdr_gap = (u8 *)rxd - skb->data + 2 * remove_pad;
218+#ifdef MTK_DEBUG
219+ if (dev->dbg.dump_rx_pkt)
220+ mt7915_packet_log_to_host(dev, skb->data, skb->len, PKT_BIN_DEBUG_RX, hdr_gap);
221+#endif
222 if (hdr_trans && ieee80211_has_morefrags(fc)) {
developerf64861f2022-06-22 11:44:53 +0800223 struct ieee80211_vif *vif;
224 int err;
developerd59e4772022-07-14 13:48:49 +0800225@@ -762,6 +770,12 @@ int mt7915_tx_prepare_skb(struct mt76_dev *mdev, void *txwi_ptr,
developere2cc0fa2022-03-29 17:31:03 +0800226 tx_info->buf[1].skip_unmap = true;
227 tx_info->nbuf = MT_CT_DMA_BUF_NUM;
228
229+#ifdef MTK_DEBUG
230+ if (dev->dbg.dump_txd)
231+ mt7915_packet_log_to_host(dev, txwi, MT_TXD_SIZE, PKT_BIN_DEBUG_TXD, 0);
232+ if (dev->dbg.dump_tx_pkt)
233+ mt7915_packet_log_to_host(dev, t->skb->data, t->skb->len, PKT_BIN_DEBUG_TX, 0);
234+#endif
235 return 0;
236 }
237
developerc115a812022-06-22 15:29:14 +0800238diff --git a/mt7915/main.c b/mt7915/main.c
developer20747c12022-09-16 14:09:40 +0800239index edd678ce..48b8be17 100644
developerc115a812022-06-22 15:29:14 +0800240--- a/mt7915/main.c
241+++ b/mt7915/main.c
242@@ -62,7 +62,11 @@ static int mt7915_start(struct ieee80211_hw *hw)
243 if (ret)
244 goto out;
245
246+#ifdef MTK_DEBUG
247+ ret = mt7915_mcu_set_sku_en(phy, !dev->dbg.sku_disable);
248+#else
249 ret = mt7915_mcu_set_sku_en(phy, true);
250+#endif
251 if (ret)
252 goto out;
253
developere2cc0fa2022-03-29 17:31:03 +0800254diff --git a/mt7915/mcu.c b/mt7915/mcu.c
developer20747c12022-09-16 14:09:40 +0800255index 19a33120..e498b61f 100644
developere2cc0fa2022-03-29 17:31:03 +0800256--- a/mt7915/mcu.c
257+++ b/mt7915/mcu.c
developerf64861f2022-06-22 11:44:53 +0800258@@ -195,6 +195,11 @@ mt7915_mcu_send_message(struct mt76_dev *mdev, struct sk_buff *skb,
259 else
260 qid = MT_MCUQ_WM;
developere2cc0fa2022-03-29 17:31:03 +0800261
developere2cc0fa2022-03-29 17:31:03 +0800262+#ifdef MTK_DEBUG
263+ if (dev->dbg.dump_mcu_pkt)
264+ mt7915_packet_log_to_host(dev, skb->data, skb->len, PKT_BIN_DEBUG_MCU, 0);
265+#endif
developerf64861f2022-06-22 11:44:53 +0800266+
267 return mt76_tx_queue_skb_raw(dev, mdev->q_mcu[qid], skb, 0);
268 }
developere2cc0fa2022-03-29 17:31:03 +0800269
developer20747c12022-09-16 14:09:40 +0800270@@ -3178,6 +3183,8 @@ int mt7915_mcu_set_sku_en(struct mt7915_phy *phy, bool enable)
developerc115a812022-06-22 15:29:14 +0800271 .sku_enable = enable,
272 };
273
274+ pr_info("%s: enable = %d\n", __func__, enable);
275+
276 return mt76_mcu_send_msg(&dev->mt76,
277 MCU_EXT_CMD(TX_POWER_FEATURE_CTRL), &req,
278 sizeof(req), true);
developer20747c12022-09-16 14:09:40 +0800279@@ -3453,6 +3460,43 @@ int mt7915_mcu_twt_agrt_update(struct mt7915_dev *dev,
developere2cc0fa2022-03-29 17:31:03 +0800280 &req, sizeof(req), true);
281 }
developerb10f1382022-04-21 20:09:33 +0800282
developere2cc0fa2022-03-29 17:31:03 +0800283+#ifdef MTK_DEBUG
284+int mt7915_dbg_mcu_wa_cmd(struct mt7915_dev *dev, int cmd, u32 a1, u32 a2, u32 a3, bool wait_resp)
285+{
286+ struct {
287+ __le32 args[3];
288+ } req = {
289+ .args = {
290+ cpu_to_le32(a1),
291+ cpu_to_le32(a2),
292+ cpu_to_le32(a3),
293+ },
294+ };
295+
296+ return mt76_mcu_send_msg(&dev->mt76, cmd, &req, sizeof(req), wait_resp);
297+}
298+
299+int mt7915_mcu_set_red(struct mt7915_dev *dev, bool enabled)
300+{
301+#define RED_DISABLE 0
302+#define RED_BY_HOST_ENABLE 1
303+#define RED_BY_WA_ENABLE 2
304+ int ret;
305+ u32 red_type = enabled > 0 ? RED_BY_WA_ENABLE : RED_DISABLE;
306+ __le32 req = cpu_to_le32(red_type);
307+
308+ ret = mt76_mcu_send_msg(&dev->mt76, MCU_EXT_CMD(RED_ENABLE), &req,
309+ sizeof(req), false);
310+ if (ret < 0)
311+ return ret;
312+
313+ mt7915_dbg_mcu_wa_cmd(dev, MCU_WA_PARAM_CMD(SET),
314+ MCU_WA_PARAM_RED, enabled, 0, true);
315+
316+ return 0;
317+}
318+#endif
developerb10f1382022-04-21 20:09:33 +0800319+
320 int mt7915_mcu_rf_regval(struct mt7915_dev *dev, u32 regidx, u32 *val, bool set)
321 {
322 struct {
developere2cc0fa2022-03-29 17:31:03 +0800323diff --git a/mt7915/mcu.h b/mt7915/mcu.h
developer20747c12022-09-16 14:09:40 +0800324index ed949802..bfb822fa 100644
developere2cc0fa2022-03-29 17:31:03 +0800325--- a/mt7915/mcu.h
326+++ b/mt7915/mcu.h
developerf64861f2022-06-22 11:44:53 +0800327@@ -259,6 +259,10 @@ enum {
developere2cc0fa2022-03-29 17:31:03 +0800328 MCU_WA_PARAM_PDMA_RX = 0x04,
329 MCU_WA_PARAM_CPU_UTIL = 0x0b,
330 MCU_WA_PARAM_RED = 0x0e,
331+#ifdef MTK_DEBUG
332+ MCU_WA_PARAM_RED_SHOW_STA = 0xf,
333+ MCU_WA_PARAM_RED_TARGET_DELAY = 0x10,
334+#endif
335 };
336
337 enum mcu_mmps_mode {
338diff --git a/mt7915/mt7915.h b/mt7915/mt7915.h
developer20747c12022-09-16 14:09:40 +0800339index c90a148d..8990b5b0 100644
developere2cc0fa2022-03-29 17:31:03 +0800340--- a/mt7915/mt7915.h
341+++ b/mt7915/mt7915.h
342@@ -9,6 +9,7 @@
343 #include "../mt76_connac.h"
344 #include "regs.h"
345
346+#define MTK_DEBUG 1
347 #define MT7915_MAX_INTERFACES 19
developere2cc0fa2022-03-29 17:31:03 +0800348 #define MT7915_WTBL_SIZE 288
developerf64861f2022-06-22 11:44:53 +0800349 #define MT7916_WTBL_SIZE 544
developer20747c12022-09-16 14:09:40 +0800350@@ -336,6 +337,29 @@ struct mt7915_dev {
developere2cc0fa2022-03-29 17:31:03 +0800351 struct reset_control *rstc;
352 void __iomem *dcm;
353 void __iomem *sku;
354+
355+#ifdef MTK_DEBUG
356+ u16 wlan_idx;
357+ struct {
358+ u32 fixed_rate;
359+ u32 l1debugfs_reg;
360+ u32 l2debugfs_reg;
361+ u32 mac_reg;
362+ u32 fw_dbg_module;
363+ u8 fw_dbg_lv;
364+ u32 bcn_total_cnt[2];
365+ u16 fwlog_seq;
366+ bool dump_mcu_pkt;
367+ bool dump_txd;
368+ bool dump_tx_pkt;
369+ bool dump_rx_pkt;
370+ bool dump_rx_raw;
371+ u32 token_idx;
developerc115a812022-06-22 15:29:14 +0800372+ u8 sku_disable;
373+ u8 muru_onoff;
developere2cc0fa2022-03-29 17:31:03 +0800374+ } dbg;
375+ const struct mt7915_dbg_reg_desc *dbg_reg;
376+#endif
377 };
378
379 enum {
developer20747c12022-09-16 14:09:40 +0800380@@ -592,4 +616,23 @@ void mt7915_sta_add_debugfs(struct ieee80211_hw *hw, struct ieee80211_vif *vif,
developere2cc0fa2022-03-29 17:31:03 +0800381 struct ieee80211_sta *sta, struct dentry *dir);
382 #endif
383
384+#ifdef MTK_DEBUG
385+int mt7915_mtk_init_debugfs(struct mt7915_phy *phy, struct dentry *dir);
386+int mt7915_dbg_mcu_wa_cmd(struct mt7915_dev *dev, int cmd, u32 a1, u32 a2, u32 a3, bool wait_resp);
387+int mt7915_mcu_set_red(struct mt7915_dev *dev, bool enabled);
388+void mt7915_dump_tmac_info(u8 *tmac_info);
389+int mt7915_mcu_set_txpower_level(struct mt7915_phy *phy, u8 drop_level);
390+void mt7915_packet_log_to_host(struct mt7915_dev *dev, const void *data, int len, int type, int des_len);
391+
392+#define PKT_BIN_DEBUG_MAGIC 0xc8763123
393+enum {
394+ PKT_BIN_DEBUG_MCU,
395+ PKT_BIN_DEBUG_TXD,
396+ PKT_BIN_DEBUG_TX,
397+ PKT_BIN_DEBUG_RX,
398+ PKT_BIN_DEBUG_RX_RAW,
399+};
400+
401+#endif
402+
403 #endif
404diff --git a/mt7915/mt7915_debug.h b/mt7915/mt7915_debug.h
405new file mode 100644
developer20747c12022-09-16 14:09:40 +0800406index 00000000..58ba2cdf
developere2cc0fa2022-03-29 17:31:03 +0800407--- /dev/null
408+++ b/mt7915/mt7915_debug.h
409@@ -0,0 +1,1350 @@
410+#ifndef __MT7915_DEBUG_H
411+#define __MT7915_DEBUG_H
412+
413+#ifdef MTK_DEBUG
414+
415+#define DBG_INVALID_BASE 0xffffffff
416+#define DBG_INVALID_OFFSET 0x0
417+
418+struct __dbg_map {
419+ u32 phys;
420+ u32 maps;
421+ u32 size;
422+};
423+
424+struct __dbg_reg {
425+ u32 base;
426+ u32 offs;
427+};
428+
429+struct __dbg_mask {
430+ u32 end;
431+ u32 start;
432+};
433+
434+enum dbg_base_rev {
435+ MT_DBG_WFDMA0_BASE,
436+ MT_DBG_WFDMA1_BASE,
437+ MT_DBG_WFDMA0_PCIE1_BASE,
438+ MT_DBG_WFDMA1_PCIE1_BASE,
439+ MT_DBG_WFDMA_EXT_CSR_BASE,
440+ MT_DBG_SWDEF_BASE,
441+ __MT_DBG_BASE_REV_MAX,
442+};
443+
444+enum dbg_reg_rev {
445+ DBG_INT_SOURCE_CSR,
446+ DBG_INT_MASK_CSR,
447+ DBG_INT1_SOURCE_CSR,
448+ DBG_INT1_MASK_CSR,
449+ DBG_TX_RING_BASE,
450+ DBG_RX_EVENT_RING_BASE,
451+ DBG_RX_STS_RING_BASE,
452+ DBG_RX_DATA_RING_BASE,
453+ DBG_DMA_ICSC_FR0,
454+ DBG_DMA_ICSC_FR1,
455+ DBG_TMAC_ICSCR0,
456+ DBG_RMAC_RXICSRPT,
457+ DBG_MIB_M0SDR0,
458+ DBG_MIB_M0SDR3,
459+ DBG_MIB_M0SDR4,
460+ DBG_MIB_M0SDR5,
461+ DBG_MIB_M0SDR7,
462+ DBG_MIB_M0SDR8,
463+ DBG_MIB_M0SDR9,
464+ DBG_MIB_M0SDR10,
465+ DBG_MIB_M0SDR11,
466+ DBG_MIB_M0SDR12,
467+ DBG_MIB_M0SDR14,
468+ DBG_MIB_M0SDR15,
469+ DBG_MIB_M0SDR16,
470+ DBG_MIB_M0SDR17,
471+ DBG_MIB_M0SDR18,
472+ DBG_MIB_M0SDR19,
473+ DBG_MIB_M0SDR20,
474+ DBG_MIB_M0SDR21,
475+ DBG_MIB_M0SDR22,
476+ DBG_MIB_M0SDR23,
477+ DBG_MIB_M0DR0,
478+ DBG_MIB_M0DR1,
479+ DBG_MIB_MUBF,
480+ DBG_MIB_M0DR6,
481+ DBG_MIB_M0DR7,
482+ DBG_MIB_M0DR8,
483+ DBG_MIB_M0DR9,
484+ DBG_MIB_M0DR10,
485+ DBG_MIB_M0DR11,
486+ DBG_MIB_M0DR12,
487+ DBG_WTBLON_WDUCR,
488+ DBG_UWTBL_WDUCR,
489+ DBG_PLE_DRR_TABLE_CTRL,
490+ DBG_PLE_DRR_TABLE_RDATA,
491+ DBG_PLE_PBUF_CTRL,
492+ DBG_PLE_QUEUE_EMPTY,
493+ DBG_PLE_FREEPG_CNT,
494+ DBG_PLE_FREEPG_HEAD_TAIL,
495+ DBG_PLE_PG_HIF_GROUP,
496+ DBG_PLE_HIF_PG_INFO,
497+ DBG_PLE_PG_HIF_TXCMD_GROUP,
498+ DBG_PLE_HIF_TXCMD_PG_INFO,
499+ DBG_PLE_PG_CPU_GROUP,
500+ DBG_PLE_CPU_PG_INFO,
501+ DBG_PLE_FL_QUE_CTRL,
502+ DBG_PLE_NATIVE_TXCMD_Q_EMPTY,
503+ DBG_PLE_TXCMD_Q_EMPTY,
504+ DBG_PLE_AC_QEMPTY,
505+ DBG_PLE_AC_OFFSET,
506+ DBG_PLE_STATION_PAUSE,
507+ DBG_PLE_DIS_STA_MAP,
508+ DBG_PSE_PBUF_CTRL,
509+ DBG_PSE_FREEPG_CNT,
510+ DBG_PSE_FREEPG_HEAD_TAIL,
511+ DBG_PSE_HIF0_PG_INFO,
512+ DBG_PSE_PG_HIF1_GROUP,
513+ DBG_PSE_HIF1_PG_INFO,
514+ DBG_PSE_PG_CPU_GROUP,
515+ DBG_PSE_CPU_PG_INFO,
516+ DBG_PSE_PG_PLE_GROUP,
517+ DBG_PSE_PLE_PG_INFO,
518+ DBG_PSE_PG_LMAC0_GROUP,
519+ DBG_PSE_LMAC0_PG_INFO,
520+ DBG_PSE_PG_LMAC1_GROUP,
521+ DBG_PSE_LMAC1_PG_INFO,
522+ DBG_PSE_PG_LMAC2_GROUP,
523+ DBG_PSE_LMAC2_PG_INFO,
524+ DBG_PSE_PG_LMAC3_GROUP,
525+ DBG_PSE_LMAC3_PG_INFO,
526+ DBG_PSE_PG_MDP_GROUP,
527+ DBG_PSE_MDP_PG_INFO,
528+ DBG_PSE_PG_PLE1_GROUP,
529+ DBG_PSE_PLE1_PG_INFO,
530+ DBG_AGG_AALCR0,
531+ DBG_AGG_AALCR1,
532+ DBG_AGG_AALCR2,
533+ DBG_AGG_AALCR3,
534+ DBG_AGG_AALCR4,
535+ DBG_AGG_B0BRR0,
536+ DBG_AGG_B1BRR0,
537+ DBG_AGG_B2BRR0,
538+ DBG_AGG_B3BRR0,
539+ DBG_AGG_AWSCR0,
540+ DBG_AGG_PCR0,
541+ DBG_AGG_TTCR0,
542+ DBG_MIB_M0ARNG0,
543+ DBG_MIB_M0DR2,
544+ DBG_MIB_M0DR13,
545+ __MT_DBG_REG_REV_MAX,
546+};
547+
548+enum dbg_mask_rev {
549+ DBG_MIB_M0SDR10_RX_MDRDY_COUNT,
550+ DBG_MIB_M0SDR14_AMPDU,
551+ DBG_MIB_M0SDR15_AMPDU_ACKED,
552+ DBG_MIB_RX_FCS_ERROR_COUNT,
553+ __MT_DBG_MASK_REV_MAX,
554+};
555+
556+enum dbg_bit_rev {
557+ __MT_DBG_BIT_REV_MAX,
558+};
559+
560+static const u32 mt7915_dbg_base[] = {
561+ [MT_DBG_WFDMA0_BASE] = 0xd4000,
562+ [MT_DBG_WFDMA1_BASE] = 0xd5000,
563+ [MT_DBG_WFDMA0_PCIE1_BASE] = 0xd8000,
564+ [MT_DBG_WFDMA1_PCIE1_BASE] = 0xd9000,
565+ [MT_DBG_WFDMA_EXT_CSR_BASE] = 0xd7000,
566+ [MT_DBG_SWDEF_BASE] = 0x41f200,
567+};
568+
569+static const u32 mt7916_dbg_base[] = {
570+ [MT_DBG_WFDMA0_BASE] = 0xd4000,
571+ [MT_DBG_WFDMA1_BASE] = 0xd5000,
572+ [MT_DBG_WFDMA0_PCIE1_BASE] = 0xd8000,
573+ [MT_DBG_WFDMA1_PCIE1_BASE] = 0xd9000,
574+ [MT_DBG_WFDMA_EXT_CSR_BASE] = 0xd7000,
575+ [MT_DBG_SWDEF_BASE] = 0x411400,
576+};
577+
578+static const u32 mt7986_dbg_base[] = {
579+ [MT_DBG_WFDMA0_BASE] = 0x24000,
580+ [MT_DBG_WFDMA1_BASE] = 0x25000,
581+ [MT_DBG_WFDMA0_PCIE1_BASE] = 0x28000,
582+ [MT_DBG_WFDMA1_PCIE1_BASE] = 0x29000,
583+ [MT_DBG_WFDMA_EXT_CSR_BASE] = 0x27000,
584+ [MT_DBG_SWDEF_BASE] = 0x411400,
585+};
586+
587+/* mt7915 regs with different base and offset */
588+static const struct __dbg_reg mt7915_dbg_reg[] = {
589+ [DBG_INT_SOURCE_CSR] = { MT_DBG_WFDMA_EXT_CSR_BASE, 0x10 },
590+ [DBG_INT_MASK_CSR] = { MT_DBG_WFDMA_EXT_CSR_BASE, 0x14 },
591+ [DBG_INT1_SOURCE_CSR] = { MT_DBG_WFDMA_EXT_CSR_BASE, 0x88 },
592+ [DBG_INT1_MASK_CSR] = { MT_DBG_WFDMA_EXT_CSR_BASE, 0x8c },
593+ [DBG_TX_RING_BASE] = { MT_DBG_WFDMA1_BASE, 0x400 },
594+ [DBG_RX_EVENT_RING_BASE] = { MT_DBG_WFDMA1_BASE, 0x500 },
595+ [DBG_RX_STS_RING_BASE] = { MT_DBG_WFDMA1_BASE, 0x510 },
596+ [DBG_RX_DATA_RING_BASE] = { MT_DBG_WFDMA0_BASE, 0x500 },
597+ [DBG_DMA_ICSC_FR0] = { DBG_INVALID_BASE, 0x0f0 },
598+ [DBG_DMA_ICSC_FR1] = { DBG_INVALID_BASE, 0x0f4 },
599+ [DBG_TMAC_ICSCR0] = { DBG_INVALID_BASE, 0x200 },
600+ [DBG_RMAC_RXICSRPT] = { DBG_INVALID_BASE, 0x618},
601+ [DBG_MIB_M0SDR0] = { DBG_INVALID_BASE, 0x010},
602+ [DBG_MIB_M0SDR3] = { DBG_INVALID_BASE, 0x014},
603+ [DBG_MIB_M0SDR4] = { DBG_INVALID_BASE, 0x018},
604+ [DBG_MIB_M0SDR5] = { DBG_INVALID_BASE, 0x01c},
605+ [DBG_MIB_M0SDR7] = { DBG_INVALID_BASE, 0x024},
606+ [DBG_MIB_M0SDR8] = { DBG_INVALID_BASE, 0x028},
607+ [DBG_MIB_M0SDR9] = { DBG_INVALID_BASE, 0x02C},
608+ [DBG_MIB_M0SDR10] = { DBG_INVALID_BASE, 0x030},
609+ [DBG_MIB_M0SDR11] = { DBG_INVALID_BASE, 0x034},
610+ [DBG_MIB_M0SDR12] = { DBG_INVALID_BASE, 0x038},
611+ [DBG_MIB_M0SDR14] = { DBG_INVALID_BASE, 0x040},
612+ [DBG_MIB_M0SDR15] = { DBG_INVALID_BASE, 0x044},
613+ [DBG_MIB_M0SDR16] = { DBG_INVALID_BASE, 0x048},
614+ [DBG_MIB_M0SDR17] = { DBG_INVALID_BASE, 0x04c},
615+ [DBG_MIB_M0SDR18] = { DBG_INVALID_BASE, 0x050},
616+ [DBG_MIB_M0SDR19] = { DBG_INVALID_BASE, 0x054},
617+ [DBG_MIB_M0SDR20] = { DBG_INVALID_BASE, 0x058},
618+ [DBG_MIB_M0SDR21] = { DBG_INVALID_BASE, 0x05c},
619+ [DBG_MIB_M0SDR22] = { DBG_INVALID_BASE, 0x060},
620+ [DBG_MIB_M0SDR23] = { DBG_INVALID_BASE, 0x064},
621+ [DBG_MIB_M0DR0] = { DBG_INVALID_BASE, 0x0a0},
622+ [DBG_MIB_M0DR1] = { DBG_INVALID_BASE, 0x0a4},
623+ [DBG_MIB_MUBF] = { DBG_INVALID_BASE, 0x090},
624+ [DBG_MIB_M0DR6] = { DBG_INVALID_BASE, 0x0b8},
625+ [DBG_MIB_M0DR7] = { DBG_INVALID_BASE, 0x0bc},
626+ [DBG_MIB_M0DR8] = { DBG_INVALID_BASE, 0x0c0},
627+ [DBG_MIB_M0DR9] = { DBG_INVALID_BASE, 0x0c4},
628+ [DBG_MIB_M0DR10] = { DBG_INVALID_BASE, 0x0c8},
629+ [DBG_MIB_M0DR11] = { DBG_INVALID_BASE, 0x0cc},
630+ [DBG_MIB_M0DR12] = { DBG_INVALID_BASE, 0x160},
631+ [DBG_WTBLON_WDUCR] = { DBG_INVALID_BASE, 0x0},
632+ [DBG_UWTBL_WDUCR] = { DBG_INVALID_BASE, 0x0},
633+ [DBG_PLE_DRR_TABLE_CTRL] = { DBG_INVALID_BASE, 0x388},
634+ [DBG_PLE_DRR_TABLE_RDATA] = { DBG_INVALID_BASE, 0x350},
635+ [DBG_PLE_PBUF_CTRL] = { DBG_INVALID_BASE, 0x014},
636+ [DBG_PLE_QUEUE_EMPTY] = { DBG_INVALID_BASE, 0x0b0},
637+ [DBG_PLE_FREEPG_CNT] = { DBG_INVALID_BASE, 0x100},
638+ [DBG_PLE_FREEPG_HEAD_TAIL] = { DBG_INVALID_BASE, 0x104},
639+ [DBG_PLE_PG_HIF_GROUP] = { DBG_INVALID_BASE, 0x110},
640+ [DBG_PLE_HIF_PG_INFO] = { DBG_INVALID_BASE, 0x114},
641+ [DBG_PLE_PG_HIF_TXCMD_GROUP] = { DBG_INVALID_BASE, 0x120},
642+ [DBG_PLE_HIF_TXCMD_PG_INFO] = { DBG_INVALID_BASE, 0x124},
643+ [DBG_PLE_PG_CPU_GROUP] = { DBG_INVALID_BASE, 0x150},
644+ [DBG_PLE_CPU_PG_INFO] = { DBG_INVALID_BASE, 0x154},
645+ [DBG_PLE_FL_QUE_CTRL] = { DBG_INVALID_BASE, 0x1b0},
646+ [DBG_PLE_NATIVE_TXCMD_Q_EMPTY] = { DBG_INVALID_BASE, 0x22c},
647+ [DBG_PLE_TXCMD_Q_EMPTY] = { DBG_INVALID_BASE, 0x230},
648+ [DBG_PLE_AC_QEMPTY] = { DBG_INVALID_BASE, 0x500},
649+ [DBG_PLE_AC_OFFSET] = { DBG_INVALID_BASE, 0x040},
650+ [DBG_PLE_STATION_PAUSE] = { DBG_INVALID_BASE, 0x400},
651+ [DBG_PLE_DIS_STA_MAP] = { DBG_INVALID_BASE, 0x440},
developer94dd8d72022-05-04 17:14:16 +0800652+ [DBG_PSE_PBUF_CTRL] = { DBG_INVALID_BASE, 0x014},
developere2cc0fa2022-03-29 17:31:03 +0800653+ [DBG_PSE_FREEPG_CNT] = { DBG_INVALID_BASE, 0x100},
654+ [DBG_PSE_FREEPG_HEAD_TAIL] = { DBG_INVALID_BASE, 0x104},
655+ [DBG_PSE_HIF0_PG_INFO] = { DBG_INVALID_BASE, 0x114},
656+ [DBG_PSE_PG_HIF1_GROUP] = { DBG_INVALID_BASE, 0x118},
657+ [DBG_PSE_HIF1_PG_INFO] = { DBG_INVALID_BASE, 0x11c},
658+ [DBG_PSE_PG_CPU_GROUP] = { DBG_INVALID_BASE, 0x150},
659+ [DBG_PSE_CPU_PG_INFO] = { DBG_INVALID_BASE, 0x154},
660+ [DBG_PSE_PG_PLE_GROUP] = { DBG_INVALID_BASE, 0x160},
661+ [DBG_PSE_PLE_PG_INFO] = { DBG_INVALID_BASE, 0x164},
662+ [DBG_PSE_PG_LMAC0_GROUP] = { DBG_INVALID_BASE, 0x170},
663+ [DBG_PSE_LMAC0_PG_INFO] = { DBG_INVALID_BASE, 0x174},
664+ [DBG_PSE_PG_LMAC1_GROUP] = { DBG_INVALID_BASE, 0x178},
665+ [DBG_PSE_LMAC1_PG_INFO] = { DBG_INVALID_BASE, 0x17c},
666+ [DBG_PSE_PG_LMAC2_GROUP] = { DBG_INVALID_BASE, 0x180},
667+ [DBG_PSE_LMAC2_PG_INFO] = { DBG_INVALID_BASE, 0x184},
668+ [DBG_PSE_PG_LMAC3_GROUP] = { DBG_INVALID_BASE, 0x188},
669+ [DBG_PSE_LMAC3_PG_INFO] = { DBG_INVALID_BASE, 0x18c},
670+ [DBG_PSE_PG_MDP_GROUP] = { DBG_INVALID_BASE, 0x198},
671+ [DBG_PSE_MDP_PG_INFO] = { DBG_INVALID_BASE, 0x19c},
672+ [DBG_PSE_PG_PLE1_GROUP] = { DBG_INVALID_BASE, 0x168},
673+ [DBG_PSE_PLE1_PG_INFO] = { DBG_INVALID_BASE, 0x16c},
674+ [DBG_AGG_AALCR0] = { DBG_INVALID_BASE, 0x048},
675+ [DBG_AGG_AALCR1] = { DBG_INVALID_BASE, 0x04c},
676+ [DBG_AGG_AALCR2] = { DBG_INVALID_BASE, 0x050},
677+ [DBG_AGG_AALCR3] = { DBG_INVALID_BASE, 0x054},
678+ [DBG_AGG_AALCR4] = { DBG_INVALID_BASE, 0x058},
679+ [DBG_AGG_B0BRR0] = { DBG_INVALID_BASE, 0x100},
680+ [DBG_AGG_B1BRR0] = { DBG_INVALID_BASE, 0x104},
681+ [DBG_AGG_B2BRR0] = { DBG_INVALID_BASE, 0x108},
682+ [DBG_AGG_B3BRR0] = { DBG_INVALID_BASE, 0x10c},
683+ [DBG_AGG_AWSCR0] = { DBG_INVALID_BASE, 0x030},
684+ [DBG_AGG_PCR0] = { DBG_INVALID_BASE, 0x040},
685+ [DBG_AGG_TTCR0] = { DBG_INVALID_BASE, 0x04c},
686+ [DBG_MIB_M0ARNG0] = { DBG_INVALID_BASE, 0x4b8},
687+ [DBG_MIB_M0DR2] = { DBG_INVALID_BASE, 0x0a8},
688+ [DBG_MIB_M0DR13] = { DBG_INVALID_BASE, 0x164},
689+};
690+
691+/* mt7986/mt7916 regs with different base and offset */
692+static const struct __dbg_reg mt7916_dbg_reg[] = {
693+ [DBG_INT_SOURCE_CSR] = { MT_DBG_WFDMA0_BASE, 0x200 },
694+ [DBG_INT_MASK_CSR] = { MT_DBG_WFDMA0_BASE, 0x204 },
695+ [DBG_INT1_SOURCE_CSR] = { MT_DBG_WFDMA0_PCIE1_BASE, 0x200 },
696+ [DBG_INT1_MASK_CSR] = { MT_DBG_WFDMA0_PCIE1_BASE, 0x204 },
697+ [DBG_TX_RING_BASE] = { MT_DBG_WFDMA0_BASE, 0x400 },
698+ [DBG_RX_EVENT_RING_BASE] = { MT_DBG_WFDMA0_BASE, 0x500 },
699+ [DBG_RX_STS_RING_BASE] = { MT_DBG_WFDMA0_BASE, 0x520 },
700+ [DBG_RX_DATA_RING_BASE] = { MT_DBG_WFDMA0_BASE, 0x540 },
701+ [DBG_DMA_ICSC_FR0] = { DBG_INVALID_BASE, 0x05c },
702+ [DBG_DMA_ICSC_FR1] = { DBG_INVALID_BASE, 0x060 },
703+ [DBG_TMAC_ICSCR0] = { DBG_INVALID_BASE, 0x120 },
704+ [DBG_RMAC_RXICSRPT] = { DBG_INVALID_BASE, 0xd0 },
705+ [DBG_MIB_M0SDR0] = { DBG_INVALID_BASE, 0x7d8},
706+ [DBG_MIB_M0SDR3] = { DBG_INVALID_BASE, 0x698},
707+ [DBG_MIB_M0SDR4] = { DBG_INVALID_BASE, 0x788},
708+ [DBG_MIB_M0SDR5] = { DBG_INVALID_BASE, 0x780},
709+ [DBG_MIB_M0SDR7] = { DBG_INVALID_BASE, 0x5a8},
710+ [DBG_MIB_M0SDR8] = { DBG_INVALID_BASE, 0x78c},
711+ [DBG_MIB_M0SDR9] = { DBG_INVALID_BASE, 0x024},
712+ [DBG_MIB_M0SDR10] = { DBG_INVALID_BASE, 0x76c},
713+ [DBG_MIB_M0SDR11] = { DBG_INVALID_BASE, 0x790},
714+ [DBG_MIB_M0SDR12] = { DBG_INVALID_BASE, 0x558},
715+ [DBG_MIB_M0SDR14] = { DBG_INVALID_BASE, 0x564},
716+ [DBG_MIB_M0SDR15] = { DBG_INVALID_BASE, 0x564},
717+ [DBG_MIB_M0SDR16] = { DBG_INVALID_BASE, 0x7fc},
718+ [DBG_MIB_M0SDR17] = { DBG_INVALID_BASE, 0x800},
719+ [DBG_MIB_M0SDR18] = { DBG_INVALID_BASE, 0x030},
720+ [DBG_MIB_M0SDR19] = { DBG_INVALID_BASE, 0x5ac},
721+ [DBG_MIB_M0SDR20] = { DBG_INVALID_BASE, 0x5b0},
722+ [DBG_MIB_M0SDR21] = { DBG_INVALID_BASE, 0x5b4},
723+ [DBG_MIB_M0SDR22] = { DBG_INVALID_BASE, 0x770},
724+ [DBG_MIB_M0SDR23] = { DBG_INVALID_BASE, 0x774},
725+ [DBG_MIB_M0DR0] = { DBG_INVALID_BASE, 0x594},
726+ [DBG_MIB_M0DR1] = { DBG_INVALID_BASE, 0x598},
727+ [DBG_MIB_MUBF] = { DBG_INVALID_BASE, 0x7ac},
728+ [DBG_MIB_M0DR6] = { DBG_INVALID_BASE, 0x658},
729+ [DBG_MIB_M0DR7] = { DBG_INVALID_BASE, 0x65c},
730+ [DBG_MIB_M0DR8] = { DBG_INVALID_BASE, 0x56c},
731+ [DBG_MIB_M0DR9] = { DBG_INVALID_BASE, 0x570},
732+ [DBG_MIB_M0DR10] = { DBG_INVALID_BASE, 0x578},
733+ [DBG_MIB_M0DR11] = { DBG_INVALID_BASE, 0x574},
734+ [DBG_MIB_M0DR12] = { DBG_INVALID_BASE, 0x654},
735+ [DBG_WTBLON_WDUCR] = { DBG_INVALID_BASE, 0x200},
736+ [DBG_UWTBL_WDUCR] = { DBG_INVALID_BASE, 0x094},
737+ [DBG_PLE_DRR_TABLE_CTRL] = { DBG_INVALID_BASE, 0x490},
738+ [DBG_PLE_DRR_TABLE_RDATA] = { DBG_INVALID_BASE, 0x470},
739+ [DBG_PLE_PBUF_CTRL] = { DBG_INVALID_BASE, 0x004},
740+ [DBG_PLE_QUEUE_EMPTY] = { DBG_INVALID_BASE, 0x360},
741+ [DBG_PLE_FREEPG_CNT] = { DBG_INVALID_BASE, 0x380},
742+ [DBG_PLE_FREEPG_HEAD_TAIL] = { DBG_INVALID_BASE, 0x384},
743+ [DBG_PLE_PG_HIF_GROUP] = { DBG_INVALID_BASE, 0x00c},
744+ [DBG_PLE_HIF_PG_INFO] = { DBG_INVALID_BASE, 0x388},
745+ [DBG_PLE_PG_HIF_TXCMD_GROUP] = { DBG_INVALID_BASE, 0x014},
746+ [DBG_PLE_HIF_TXCMD_PG_INFO] = { DBG_INVALID_BASE, 0x390},
747+ [DBG_PLE_PG_CPU_GROUP] = { DBG_INVALID_BASE, 0x018},
748+ [DBG_PLE_CPU_PG_INFO] = { DBG_INVALID_BASE, 0x394},
749+ [DBG_PLE_FL_QUE_CTRL] = { DBG_INVALID_BASE, 0x3e0},
750+ [DBG_PLE_NATIVE_TXCMD_Q_EMPTY] = { DBG_INVALID_BASE, 0x370},
developer68e1eb22022-05-09 17:02:12 +0800751+ [DBG_PLE_TXCMD_Q_EMPTY] = { DBG_INVALID_BASE, 0x36c},
developere2cc0fa2022-03-29 17:31:03 +0800752+ [DBG_PLE_AC_QEMPTY] = { DBG_INVALID_BASE, 0x600},
753+ [DBG_PLE_AC_OFFSET] = { DBG_INVALID_BASE, 0x080},
754+ [DBG_PLE_STATION_PAUSE] = { DBG_INVALID_BASE, 0x100},
755+ [DBG_PLE_DIS_STA_MAP] = { DBG_INVALID_BASE, 0x180},
756+ [DBG_PSE_PBUF_CTRL] = { DBG_INVALID_BASE, 0x004},
757+ [DBG_PSE_FREEPG_CNT] = { DBG_INVALID_BASE, 0x380},
758+ [DBG_PSE_FREEPG_HEAD_TAIL] = { DBG_INVALID_BASE, 0x384},
759+ [DBG_PSE_HIF0_PG_INFO] = { DBG_INVALID_BASE, 0x150},
760+ [DBG_PSE_PG_HIF1_GROUP] = { DBG_INVALID_BASE, 0x154},
761+ [DBG_PSE_HIF1_PG_INFO] = { DBG_INVALID_BASE, 0x160},
762+ [DBG_PSE_PG_CPU_GROUP] = { DBG_INVALID_BASE, 0x118},
763+ [DBG_PSE_CPU_PG_INFO] = { DBG_INVALID_BASE, 0x158},
764+ [DBG_PSE_PG_PLE_GROUP] = { DBG_INVALID_BASE, 0x11c},
765+ [DBG_PSE_PLE_PG_INFO] = { DBG_INVALID_BASE, 0x15c},
766+ [DBG_PSE_PG_LMAC0_GROUP] = { DBG_INVALID_BASE, 0x124},
767+ [DBG_PSE_LMAC0_PG_INFO] = { DBG_INVALID_BASE, 0x164},
768+ [DBG_PSE_PG_LMAC1_GROUP] = { DBG_INVALID_BASE, 0x128},
769+ [DBG_PSE_LMAC1_PG_INFO] = { DBG_INVALID_BASE, 0x168},
770+ [DBG_PSE_PG_LMAC2_GROUP] = { DBG_INVALID_BASE, 0x12c},
771+ [DBG_PSE_LMAC2_PG_INFO] = { DBG_INVALID_BASE, 0x16c},
772+ [DBG_PSE_PG_LMAC3_GROUP] = { DBG_INVALID_BASE, 0x130},
773+ [DBG_PSE_LMAC3_PG_INFO] = { DBG_INVALID_BASE, 0x17c},
774+ [DBG_PSE_PG_MDP_GROUP] = { DBG_INVALID_BASE, 0x134},
775+ [DBG_PSE_MDP_PG_INFO] = { DBG_INVALID_BASE, 0x174},
776+ [DBG_PSE_PG_PLE1_GROUP] = { DBG_INVALID_BASE, 0x120},
777+ [DBG_PSE_PLE1_PG_INFO] = { DBG_INVALID_BASE, 0x160},
778+ [DBG_AGG_AALCR0] = { DBG_INVALID_BASE, 0x028},
779+ [DBG_AGG_AALCR1] = { DBG_INVALID_BASE, 0x144},
780+ [DBG_AGG_AALCR2] = { DBG_INVALID_BASE, 0x14c},
781+ [DBG_AGG_AALCR3] = { DBG_INVALID_BASE, 0x154},
782+ [DBG_AGG_AALCR4] = { DBG_INVALID_BASE, 0x02c},
783+ [DBG_AGG_B0BRR0] = { DBG_INVALID_BASE, 0x08c},
784+ [DBG_AGG_B1BRR0] = { DBG_INVALID_BASE, 0x148},
785+ [DBG_AGG_B2BRR0] = { DBG_INVALID_BASE, 0x150},
786+ [DBG_AGG_B3BRR0] = { DBG_INVALID_BASE, 0x158},
787+ [DBG_AGG_AWSCR0] = { DBG_INVALID_BASE, 0x05c},
788+ [DBG_AGG_PCR0] = { DBG_INVALID_BASE, 0x06c},
789+ [DBG_AGG_TTCR0] = { DBG_INVALID_BASE, 0x07c},
790+ [DBG_MIB_M0ARNG0] = { DBG_INVALID_BASE, 0x0b0},
791+ [DBG_MIB_M0DR2] = { DBG_INVALID_BASE, 0x7dc},
792+ [DBG_MIB_M0DR13] = { DBG_INVALID_BASE, 0x7ec},
793+};
794+
795+static const struct __dbg_mask mt7915_dbg_mask[] = {
796+ [DBG_MIB_M0SDR10_RX_MDRDY_COUNT]= {25, 0},
797+ [DBG_MIB_M0SDR14_AMPDU] = {23, 0},
798+ [DBG_MIB_M0SDR15_AMPDU_ACKED] = {23, 0},
799+ [DBG_MIB_RX_FCS_ERROR_COUNT] = {15, 0},
800+};
801+
802+static const struct __dbg_mask mt7916_dbg_mask[] = {
803+ [DBG_MIB_M0SDR10_RX_MDRDY_COUNT]= {31, 0},
804+ [DBG_MIB_M0SDR14_AMPDU] = {31, 0},
805+ [DBG_MIB_M0SDR15_AMPDU_ACKED] = {31, 0},
806+ [DBG_MIB_RX_FCS_ERROR_COUNT] = {31, 16},
807+};
808+
809+/* used to differentiate between generations */
810+struct mt7915_dbg_reg_desc {
811+ const u32 id;
812+ const u32 *base_rev;
813+ const struct __dbg_reg *reg_rev;
814+ const struct __dbg_mask *mask_rev;
815+};
816+
817+static const struct mt7915_dbg_reg_desc dbg_reg_s[] = {
818+ { 0x7915,
819+ mt7915_dbg_base,
820+ mt7915_dbg_reg,
821+ mt7915_dbg_mask
822+ },
823+ { 0x7906,
824+ mt7916_dbg_base,
825+ mt7916_dbg_reg,
826+ mt7916_dbg_mask
827+ },
828+ { 0x7986,
829+ mt7986_dbg_base,
830+ mt7916_dbg_reg,
831+ mt7916_dbg_mask
832+ },
833+};
834+
835+struct bin_debug_hdr {
836+ __le32 magic_num;
837+ __le16 serial_id;
838+ __le16 msg_type;
839+ __le16 len;
840+ __le16 des_len; /* descriptor len for rxd */
841+} __packed;
842+
843+#define __DBG_REG_MAP(_dev, id, ofs) ((_dev)->dbg_reg->base_rev[(id)] + (ofs))
844+#define __DBG_REG_BASE(_dev, id) ((_dev)->dbg_reg->reg_rev[(id)].base)
845+#define __DBG_REG_OFFS(_dev, id) ((_dev)->dbg_reg->reg_rev[(id)].offs)
846+
847+#define __DBG_MASK(_dev, id) GENMASK((_dev)->dbg_reg->mask_rev[(id)].end, \
848+ (_dev)->dbg_reg->mask_rev[(id)].start)
849+#define __DBG_REG(_dev, id) __DBG_REG_MAP((_dev), __DBG_REG_BASE((_dev), (id)), \
850+ __DBG_REG_OFFS((_dev), (id)))
851+
852+#define __DBG_FIELD_GET(id, _reg) (((_reg) & __DBG_MASK(dev, (id))) >> \
853+ dev->dbg_reg->mask_rev[(id)].start)
854+#define __DBG_FIELD_PREP(id, _reg) (((_reg) << dev->dbg_reg->mask_rev[(id)].start) & \
855+ __DBG_MASK(dev, (id)))
856+
857+
858+#define MT_DBG_TX_RING_BASE __DBG_REG(dev, DBG_TX_RING_BASE)
859+#define MT_DBG_RX_EVENT_RING_BASE __DBG_REG(dev, DBG_RX_EVENT_RING_BASE)
860+#define MT_DBG_RX_STS_RING_BASE __DBG_REG(dev, DBG_RX_STS_RING_BASE)
861+#define MT_DBG_RX_DATA_RING_BASE __DBG_REG(dev, DBG_RX_DATA_RING_BASE)
862+
863+#define MT_DBG_TX_RING_CTRL(n) (MT_DBG_TX_RING_BASE + (0x10 * (n)))
864+#define MT_DBG_RX_DATA_RING_CTRL(n) (MT_DBG_RX_DATA_RING_BASE + (0x10 * (n)))
865+#define MT_DBG_RX_EVENT_RING_CTRL(n) (MT_DBG_RX_EVENT_RING_BASE + (0x10 * (n)))
866+
867+/* WFDMA COMMON */
868+#define MT_DBG_INT_SOURCE_CSR __DBG_REG(dev, DBG_INT_SOURCE_CSR)
869+#define MT_DBG_INT_MASK_CSR __DBG_REG(dev, DBG_INT_MASK_CSR)
870+#define MT_DBG_INT1_SOURCE_CSR __DBG_REG(dev, DBG_INT1_SOURCE_CSR)
871+#define MT_DBG_INT1_MASK_CSR __DBG_REG(dev, DBG_INT1_MASK_CSR)
872+
873+/* WFDMA0 */
874+#define MT_DBG_WFDMA0(_ofs) __DBG_REG_MAP(dev, MT_DBG_WFDMA0_BASE, (_ofs))
875+
876+#define MT_DBG_WFDMA0_INT_SOURCE_CSR MT_DBG_WFDMA0(0x200)
877+#define MT_DBG_WFDMA0_INT_MASK_CSR MT_DBG_WFDMA0(0x204)
878+
879+#define MT_DBG_WFDMA0_GLO_CFG MT_DBG_WFDMA0(0x208)
880+#define MT_DBG_WFDMA0_GLO_CFG_TX_DMA_EN BIT(0)
881+#define MT_DBG_WFDMA0_GLO_CFG_RX_DMA_EN BIT(2)
882+#define MT_DBG_WFDMA0_GLO_CFG_TX_BUSY_MASK BIT(1)
883+#define MT_DBG_WFDMA0_GLO_CFG_RX_BUSY_MASK BIT(3)
884+
885+
886+/* WFDMA1 */
887+#define MT_DBG_WFDMA1(_ofs) __DBG_REG_MAP(dev, MT_DBG_WFDMA1_BASE, (_ofs))
888+#define MT_DBG_WFDMA1_INT_SOURCE_CSR MT_DBG_WFDMA1(0x200)
889+#define MT_DBG_WFDMA1_INT_MASK_CSR MT_DBG_WFDMA1(0x204)
890+
891+#define MT_DBG_WFDMA1_GLO_CFG MT_DBG_WFDMA1(0x208)
892+
893+#define MT_DBG_WFDMA1_GLO_CFG_TX_DMA_EN BIT(0)
894+#define MT_DBG_WFDMA1_GLO_CFG_RX_DMA_EN BIT(2)
895+#define MT_DBG_WFDMA1_GLO_CFG_TX_BUSY_MASK BIT(1)
896+#define MT_DBG_WFDMA1_GLO_CFG_RX_BUSY_MASK BIT(3)
897+
898+/* WFDMA0 PCIE1 */
899+#define MT_DBG_WFDMA0_PCIE1(_ofs) __DBG_REG_MAP(dev, MT_DBG_WFDMA0_PCIE1_BASE, (_ofs))
900+
901+#define MT_DBG_WFDMA0_PCIE1_INT_SOURCE_CSR MT_DBG_WFDMA0_PCIE1(0x200)
902+#define MT_DBG_WFDMA0_PCIE1_INT_MASK_CSR MT_DBG_WFDMA0_PCIE1(0x204)
903+#define MT_DBG_WFDMA0_PCIE1_GLO_CFG MT_DBG_WFDMA0_PCIE1(0x208)
904+#define MT_DBG_WFDMA0_PCIE1_RX1_CTRL0 MT_DBG_WFDMA1_PCIE1(0x510)
905+
906+#define MT_DBG_WFDMA0_PCIE1_GLO_CFG_TX_DMA_EN BIT(0)
907+#define MT_DBG_WFDMA0_PCIE1_GLO_CFG_TX_BUSY_MASK BIT(1)
908+#define MT_DBG_WFDMA0_PCIE1_GLO_CFG_RX_DMA_EN BIT(2)
909+#define MT_DBG_WFDMA0_PCIE1_GLO_CFG_RX_BUSY_MASK BIT(3)
910+
911+/* WFDMA1 PCIE1 */
912+#define MT_DBG_WFDMA1_PCIE1(_ofs) __DBG_REG_MAP(dev, MT_DBG_WFDMA1_PCIE1_BASE, (_ofs))
913+#define MT_DBG_WFDMA1_PCIE1_INT_SOURCE_CSR MT_DBG_WFDMA1_PCIE1(0x200)
914+#define MT_DBG_WFDMA1_PCIE1_INT_MASK_CSR MT_DBG_WFDMA1_PCIE1(0x204)
915+#define MT_DBG_WFDMA1_PCIE1_GLO_CFG MT_DBG_WFDMA1_PCIE1(0x208)
916+#define MT_DBG_WFDMA1_PCIE1_TX19_CTRL0 MT_DBG_WFDMA1_PCIE1(0x330)
917+#define MT_DBG_WFDMA1_PCIE1_RX2_CTRL0 MT_DBG_WFDMA1_PCIE1(0x520)
918+
919+#define MT_DBG_WFDMA1_PCIE1_GLO_CFG_TX_DMA_EN BIT(0)
920+#define MT_DBG_WFDMA1_PCIE1_GLO_CFG_TX_BUSY_MASK BIT(1)
921+#define MT_DBG_WFDMA1_PCIE1_GLO_CFG_RX_DMA_EN BIT(2)
922+#define MT_DBG_WFDMA1_PCIE1_GLO_CFG_RX_BUSY_MASK BIT(3)
923+
924+#define MT_DBG_WFDMA_HOST_DMA0_GLO_CFG_RX_DMA_EN_MASK BIT(2)
925+#define MT_DBG_WFDMA_HOST_DMA0_GLO_CFG_TX_DMA_EN_MASK BIT(0)
926+#define MT_DBG_WFDMA_HOST_DMA0_GLO_CFG_RX_DMA_BUSY_MASK BIT(3)
927+#define MT_DBG_WFDMA_HOST_DMA0_GLO_CFG_TX_DMA_BUSY_MASK BIT(1)
928+
929+
930+/* WF DMA TOP: band 0(0x820E7000),band 1(0x820F7000) */
931+#define MT_DBG_WF_DMA_BASE(_band) ((_band) ? 0x820F7000 : 0x820E7000)
932+#define MT_DBG_WF_DMA(_band, ofs) (MT_WF_DMA_BASE(_band) + (ofs))
933+
934+#define MT_DBG_DMA_DCR0(_band) MT_DBG_WF_DMA((_band), 0x000)
935+#define MT_DBG_DMA_DCR0_MAX_RX_LEN GENMASK(15, 3)
936+#define MT_DBG_DMA_DCR0_RXD_G5_EN BIT(23)
937+
938+#define MT_DBG_DMA_ICSC_FR0(_band) MT_DBG_WF_DMA((_band), __DBG_REG_OFFS(dev, DBG_DMA_ICSC_FR0))
939+#define MT_DBG_DMA_ICSC_FR0_RXBF_EN BIT(25)
940+#define MT_DBG_DMA_ICSC_FR0_EN BIT(24)
941+#define MT_DBG_DMA_ICSC_FR0_TOUT_MASK GENMASK(23, 16)
942+#define MT_DBG_DMA_ICSC_FR0_PID_MASK GENMASK(9, 8)
943+#define MT_DBG_DMA_ICSC_FR0_QID_MASK GENMASK(6, 0)
944+
945+#define MT_DBG_DMA_ICSC_FR1(_band) MT_DBG_WF_DMA((_band), __DBG_REG_OFFS(dev, DBG_DMA_ICSC_FR1))
946+#define MT_DBG_DMA_ICSC_FR1_AGG_SIZE_MASK GENMASK(26, 16)
947+#define MT_DBG_DMA_ICSC_FR1_MAX_FRAME_SIZE_MASK GENMASK(10, 0)
948+
949+/* TMAC: band 0(0x820e4000), band 1(0x820f4000) */
950+#define MT_DBG_WF_TMAC_BASE(_band) ((_band) ? 0x820f4000 : 0x820e4000)
951+#define MT_DBG_WF_TMAC(_band, ofs) (MT_DBG_WF_TMAC_BASE(_band) + (ofs))
952+
953+#define MT_DBG_TMAC_ICSCR0(_band) MT_DBG_WF_TMAC((_band), __DBG_REG_OFFS(dev, DBG_TMAC_ICSCR0))
954+#define MT_DBG_TMAC_ICSCR0_ICSRPT_EN BIT(0)
955+
956+/* RMAC: band 0(0x820E5000), band 1(0x820f5000) */
957+#define MT_DBG_WF_RMAC_BASE(_band) ((_band) ? 0x820f5000 : 0x820E5000)
958+#define MT_DBG_WF_RMAC(_band, ofs) (MT_DBG_WF_RMAC_BASE(_band) + (ofs))
959+
960+#define MT_DBG_RMAC_RXICSRPT(_band) MT_DBG_WF_RMAC((_band), __DBG_REG_OFFS(dev, DBG_RMAC_RXICSRPT))
961+#define MT_DBG_RMAC_RXICSRPT_ICSRPT_EN BIT(0)
962+
963+/* MIB: band 0(0x820ed000), band 1(0x820fd000) */
964+#define MT_DBG_MIB_BASE(_band) ((_band) ? 0x820fd000 : 0x820ed000)
965+#define MT_DBG_MIB(_band, ofs) (MT_DBG_MIB_BASE(_band) + (ofs))
966+
967+
968+#define MT_DBG_MIB_M0SCR0(_band) MT_DBG_MIB((_band), 0x00)
969+#define MT_DBG_MIB_M0PBSCR(_band) MT_DBG_MIB((_band), 0x04)
970+
971+#define MT_DBG_MIB_M0SDR0(_band) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0SDR0))
972+#define MT_DBG_MIB_M0SDR3(_band) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0SDR3))
973+#define MT_DBG_MIB_RX_FCS_ERROR_COUNT_MASK __DBG_MASK(dev, DBG_MIB_RX_FCS_ERROR_COUNT)
974+#define MT_DBG_MIB_M0SDR4(_band) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0SDR4))
975+#define MT_DBG_MIB_M0SDR5(_band) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0SDR5))
976+#define MT_DBG_MIB_M0SDR6(_band) MT_DBG_MIB((_band), 0x20)
977+#define MT_DBG_MIB_M0SDR7(_band) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0SDR7))
978+#define MT_DBG_MIB_M0SDR8(_band) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0SDR8))
979+#define MT_DBG_MIB_M0SDR9(_band) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0SDR9))
980+#define MT_DBG_MIB_M0SDR10(_band) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0SDR10))
981+#define MT_DBG_MIB_M0SDR10_RX_MDRDY_COUNT_MASK __DBG_MASK(dev, DBG_MIB_M0SDR10_RX_MDRDY_COUNT)
982+#define MT_DBG_MIB_M0SDR11(_band) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0SDR11))
983+
984+#define MT_DBG_MIB_M0SDR12(_band) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0SDR12))
985+
986+#define MT_DBG_MIB_M0SDR14(_band) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0SDR14))
987+#define MT_DBG_MIB_M0SDR14_AMPDU_MASK __DBG_MASK(dev, DBG_MIB_M0SDR14_AMPDU)
988+#define MT_DBG_MIB_M0SDR15(_band) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0SDR15))
989+#define MT_DBG_MIB_M0SDR15_AMPDU_ACKED_MASK __DBG_MASK(dev, DBG_MIB_M0SDR15_AMPDU_ACKED)
990+#define MT_DBG_MIB_M0SDR16(_band) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0SDR16))
991+#define MT_DBG_MIB_M0SDR17(_band) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0SDR17))
992+#define MT_DBG_MIB_M0SDR18(_band) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0SDR18))
993+#define MT_DBG_MIB_M0SDR19(_band) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0SDR19))
994+#define MT_DBG_MIB_M0SDR20(_band) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0SDR20))
995+#define MT_DBG_MIB_M0SDR21(_band) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0SDR21))
996+#define MT_DBG_MIB_M0SDR22(_band) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0SDR22))
997+#define MT_DBG_MIB_M0SDR23(_band) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0SDR23))
998+#define MT_DBG_MIB_M0DR0(_band) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0DR0))
999+#define MT_DBG_MIB_M0DR1(_band) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0DR1))
1000+
1001+#define MT_DBG_MIB_MUBF(_band) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_MUBF))
1002+#define MT_DBG_MIB_M0DR6(_band) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0DR6))
1003+#define MT_DBG_MIB_M0DR7(_band) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0DR7))
1004+#define MT_DBG_MIB_M0DR8(_band) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0DR8))
1005+#define MT_DBG_MIB_M0DR9(_band) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0DR9))
1006+#define MT_DBG_MIB_M0DR10(_band) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0DR10))
1007+#define MT_DBG_MIB_M0DR11(_band) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0DR11))
1008+ #define MT_DBG_MIB_M0DR12(_band) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0DR12))
1009+
1010+/* WTBLON TOP: 0x820D4000/pcie(0x34000) rbus(0x434000) */
1011+#define MT_DBG_WTBLON_TOP_BASE 0x820D4000
1012+#define MT_DBG_WTBLON_TOP(ofs) (MT_WTBLON_TOP_BASE + (ofs))
1013+#define MT_DBG_WTBLON_TOP_WDUCR MT_DBG_WTBLON_TOP(__DBG_REG_OFFS(dev, DBG_WTBLON_WDUCR))
1014+#define MT_DBG_WTBLON_TOP_WDUCR_GROUP GENMASK(2, 0)
1015+
1016+#define WF_WTBLON_TOP_B0BTCRn_ADDR (MT_DBG_WTBLON_TOP_BASE + 0x1000) // 5000
1017+#define WF_WTBLON_TOP_B0BTBCRn_ADDR (MT_DBG_WTBLON_TOP_BASE + 0x1010) // 5010
1018+#define WF_WTBLON_TOP_B0BRCRn_ADDR (MT_DBG_WTBLON_TOP_BASE + 0x1020) // 5020
1019+#define WF_WTBLON_TOP_B0BRBCRn_ADDR (MT_DBG_WTBLON_TOP_BASE + 0x1030) // 5030
1020+#define WF_WTBLON_TOP_B0BTDCRn_ADDR (MT_DBG_WTBLON_TOP_BASE + 0x1040) // 5040
1021+#define WF_WTBLON_TOP_B0BRDCRn_ADDR (MT_DBG_WTBLON_TOP_BASE + 0x1050) // 5050
1022+#define WF_WTBLON_TOP_B0MBTCRn_ADDR (MT_DBG_WTBLON_TOP_BASE + 0x1100) // 5100
1023+#define WF_WTBLON_TOP_B0MBTBCRn_ADDR (MT_DBG_WTBLON_TOP_BASE + 0x1140) // 5140
1024+#define WF_WTBLON_TOP_B0MBRCRn_ADDR (MT_DBG_WTBLON_TOP_BASE + 0x1180) // 5180
1025+#define WF_WTBLON_TOP_B0MBRBCRn_ADDR (MT_DBG_WTBLON_TOP_BASE + 0x11C0) // 51C0
1026+
1027+#define WF_WTBLON_TOP_B1BTCRn_ADDR (MT_DBG_WTBLON_TOP_BASE + 0x1800) // 5800
1028+
1029+/* WTBLON TOP: 0x820C4000/pcie(0xa8000) rbus(0x4a8000) */
1030+#define MT_DBG_UWTBL_TOP_BASE 0x820C4000
1031+#define MT_DBG_UWTBL_TOP(ofs) (MT_DBG_UWTBL_TOP_BASE + (ofs))
1032+
1033+#define MT_DBG_UWTBL_TOP_WDUCR MT_DBG_WTBLON_TOP(__DBG_REG_OFFS(dev, DBG_UWTBL_WDUCR))
1034+
1035+#define MT_UWTBL_TOP_WDUCR_TARGET BIT(31)
1036+#define MT_UWTBL_TOP_WDUCR_GROUP GENMASK(3, 0)
1037+
1038+
1039+/* WTBL : 0x820D8000/pcie(0x38000) rbus(0x438000) */
1040+#define MT_DBG_WTBL_BASE 0x820D8000
1041+
1042+/* PLE related CRs. */
1043+#define MT_DBG_PLE_BASE 0x820C0000
1044+#define MT_DBG_PLE(ofs) (MT_DBG_PLE_BASE + (ofs))
1045+
1046+#define MT_DBG_PLE_DRR_TAB_CTRL MT_DBG_PLE(__DBG_REG_OFFS(dev, DBG_PLE_DRR_TABLE_CTRL))
1047+#define MT_DBG_PLE_DRR_TAB_RD_OFS __DBG_REG_OFFS(dev, DBG_PLE_DRR_TABLE_RDATA)
1048+
1049+#define MT_DBG_PLE_DRR_TABLE_RDATA0 MT_DBG_PLE(MT_DBG_PLE_DRR_TAB_RD_OFS + 0x0)
1050+#define MT_DBG_PLE_DRR_TABLE_RDATA1 MT_DBG_PLE(MT_DBG_PLE_DRR_TAB_RD_OFS + 0x4)
1051+#define MT_DBG_PLE_DRR_TABLE_RDATA2 MT_DBG_PLE(MT_DBG_PLE_DRR_TAB_RD_OFS + 0x8)
1052+#define MT_DBG_PLE_DRR_TABLE_RDATA3 MT_DBG_PLE(MT_DBG_PLE_DRR_TAB_RD_OFS + 0xc)
1053+#define MT_DBG_PLE_DRR_TABLE_RDATA4 MT_DBG_PLE(MT_DBG_PLE_DRR_TAB_RD_OFS + 0x10)
1054+#define MT_DBG_PLE_DRR_TABLE_RDATA5 MT_DBG_PLE(MT_DBG_PLE_DRR_TAB_RD_OFS + 0x14)
1055+#define MT_DBG_PLE_DRR_TABLE_RDATA6 MT_DBG_PLE(MT_DBG_PLE_DRR_TAB_RD_OFS + 0x18)
1056+#define MT_DBG_PLE_DRR_TABLE_RDATA7 MT_DBG_PLE(MT_DBG_PLE_DRR_TAB_RD_OFS+ 0x1c)
1057+
1058+#define MT_DBG_PLE_PBUF_CTRL_ADDR MT_DBG_PLE(__DBG_REG_OFFS(dev, DBG_PLE_PBUF_CTRL))
1059+#define MT_DBG_PLE_QUEUE_EMPTY MT_DBG_PLE(__DBG_REG_OFFS(dev, DBG_PLE_QUEUE_EMPTY))
1060+#define MT_DBG_PLE_FREEPG_CNT MT_DBG_PLE(__DBG_REG_OFFS(dev, DBG_PLE_FREEPG_CNT))
1061+#define MT_DBG_PLE_FREEPG_HEAD_TAIL MT_DBG_PLE(__DBG_REG_OFFS(dev, DBG_PLE_FREEPG_HEAD_TAIL))
1062+#define MT_DBG_PLE_PG_HIF_GROUP MT_DBG_PLE(__DBG_REG_OFFS(dev, DBG_PLE_PG_HIF_GROUP))
1063+#define MT_DBG_PLE_HIF_PG_INFO MT_DBG_PLE(__DBG_REG_OFFS(dev, DBG_PLE_HIF_PG_INFO))
1064+#define MT_DBG_PLE_PG_HIF_TXCMD_GROUP MT_DBG_PLE(__DBG_REG_OFFS(dev, DBG_PLE_PG_HIF_TXCMD_GROUP))
1065+#define MT_DBG_PLE_HIF_TXCMD_PG_INFO MT_DBG_PLE(__DBG_REG_OFFS(dev, DBG_PLE_HIF_TXCMD_PG_INFO))
1066+#define MT_DBG_PLE_PG_CPU_GROUP MT_DBG_PLE(__DBG_REG_OFFS(dev, DBG_PLE_PG_CPU_GROUP))
1067+#define MT_DBG_PLE_CPU_PG_INFO MT_DBG_PLE(__DBG_REG_OFFS(dev, DBG_PLE_CPU_PG_INFO))
1068+#define PLE_FL_QUE_CTRL_OFFSET __DBG_REG_OFFS(dev, DBG_PLE_FL_QUE_CTRL)
1069+#define MT_DBG_PLE_FL_QUE_CTRL0 MT_DBG_PLE(PLE_FL_QUE_CTRL_OFFSET + 0x0)
1070+#define MT_DBG_PLE_FL_QUE_CTRL1 MT_DBG_PLE(PLE_FL_QUE_CTRL_OFFSET + 0x4)
1071+#define MT_DBG_PLE_FL_QUE_CTRL2 MT_DBG_PLE(PLE_FL_QUE_CTRL_OFFSET + 0x8)
1072+#define MT_DBG_PLE_FL_QUE_CTRL3 MT_DBG_PLE(PLE_FL_QUE_CTRL_OFFSET + 0xc)
1073+#define MT_DBG_PLE_NATIVE_TXCMD_Q_EMPTY MT_DBG_PLE(__DBG_REG_OFFS(dev, DBG_PLE_NATIVE_TXCMD_Q_EMPTY))
1074+#define MT_DBG_PLE_TXCMD_Q_EMPTY MT_DBG_PLE(__DBG_REG_OFFS(dev, DBG_PLE_TXCMD_Q_EMPTY))
1075+
1076+#define MT_DBG_PLE_PBUF_CTRL_PAGE_SIZE_MASK BIT(31)
1077+#define MT_DBG_PLE_PBUF_CTRL_OFFSET_MASK GENMASK(25, 17)
1078+#define MT_DBG_PLE_PBUF_CTRL_TOTAL_PAGE_NUM_MASK GENMASK(11, 0)
1079+
1080+#define MT_DBG_PLE_FREEPG_CNT_FREEPG_CNT_MASK GENMASK(11, 0)
1081+#define MT_DBG_PLE_FREEPG_CNT_FFA_CNT_MASK GENMASK(27, 16)
1082+#define MT_DBG_PLE_FREEPG_HEAD_TAIL_FREEPG_TAIL_MASK GENMASK(27, 16)
1083+#define MT_DBG_PLE_FREEPG_HEAD_TAIL_FREEPG_HEAD_MASK GENMASK(11, 0)
1084+#define MT_DBG_PLE_PG_HIF_GROUP_HIF_MAX_QUOTA_MASK GENMASK(27, 16)
1085+#define MT_DBG_PLE_PG_HIF_GROUP_HIF_MIN_QUOTA_MASK GENMASK(11, 0)
1086+
1087+#define MT_DBG_PLE_HIF_PG_INFO_HIF_SRC_CNT_MASK GENMASK(27, 16)
1088+#define MT_DBG_PLE_HIF_PG_INFO_HIF_RSV_CNT_MASK GENMASK(11, 0)
1089+
1090+#define MT_DBG_PLE_PG_HIF_TXCMD_GROUP_HIF_TXCMD_MAX_QUOTA_MASK GENMASK(27, 16)
1091+#define MT_DBG_PLE_PG_HIF_TXCMD_GROUP_HIF_TXCMD_MIN_QUOTA_MASK GENMASK(11, 0)
1092+
1093+#define MT_DBG_PLE_HIF_TXCMD_PG_INFO_HIF_TXCMD_SRC_CNT_MASK GENMASK(27, 16)
1094+#define MT_DBG_PLE_HIF_TXCMD_PG_INFO_HIF_TXCMD_RSV_CNT_MASK GENMASK(11, 0)
1095+
1096+#define MT_DBG_PLE_TXCMD_PG_INFO_HIF_TXCMD_SRC_CNT_MASK GENMASK(27, 16)
1097+#define MT_DBG_PLE_TXCMD_PG_INFO_HIF_TXCMD_RSV_CNT_MASK GENMASK(11, 0)
1098+
1099+#define MT_DBG_PLE_PG_CPU_GROUP_CPU_MAX_QUOTA_MASK GENMASK(27, 16)
1100+#define MT_DBG_PLE_PG_CPU_GROUP_CPU_MIN_QUOTA_MASK GENMASK(11, 0)
1101+
1102+#define MT_DBG_PLE_CPU_PG_INFO_CPU_SRC_CNT_MASK GENMASK(27, 16)
1103+#define MT_DBG_PLE_CPU_PG_INFO_CPU_RSV_CNT_MASK GENMASK(11, 0)
1104+
1105+#define MT_DBG_PLE_Q_EMPTY_ALL_AC_EMPTY_MASK BIT(24)
1106+#define MT_DBG_PLE_FL_QUE_CTRL0_EXECUTE_MASK BIT(31)
1107+#define MT_DBG_PLE_FL_QUE_CTRL0_Q_BUF_QID_MASK GENMASK(30, 24)
1108+
1109+#define MT_PLE_FL_QUE_CTRL0_Q_BUF_QID_SHFT 24
1110+#define MT_PLE_FL_QUE_CTRL0_Q_BUF_PID_SHFT 10
1111+
1112+#define MT_DBG_PLE_FL_QUE_CTRL2_Q_TAIL_FID_MASK GENMASK(27, 16)
1113+#define MT_DBG_PLE_FL_QUE_CTRL2_Q_HEAD_FID_MASK GENMASK(11, 0)
1114+#define MT_DBG_PLE_FL_QUE_CTRL3_Q_PKT_NUM_MASK GENMASK(11, 0)
1115+
1116+#define MT_DBG_PLE_STATION_PAUSE(n) MT_DBG_PLE(__DBG_REG_OFFS(dev, DBG_PLE_STATION_PAUSE) + ((n) << 2))
1117+#define MT_DBG_PLE_DIS_STA_MAP(n) MT_DBG_PLE(__DBG_REG_OFFS(dev, DBG_PLE_DIS_STA_MAP) + ((n) << 2))
1118+#define MT_DBG_PLE_AC_QEMPTY(ac, n) MT_DBG_PLE(__DBG_REG_OFFS(dev, DBG_PLE_AC_QEMPTY) + \
1119+ __DBG_REG_OFFS(dev, DBG_PLE_AC_OFFSET) * (ac) + ((n) << 2))
1120+
1121+#define MT_DBG_PLE_AMSDU_PACK_MSDU_CNT(n) MT_DBG_PLE(0x10e0 + ((n) << 2))
1122+
1123+/* pseinfo related CRs. */
1124+#define MT_DBG_PSE_BASE 0x820C8000
1125+#define MT_DBG_PSE(ofs) (MT_DBG_PSE_BASE + (ofs))
1126+
developer94dd8d72022-05-04 17:14:16 +08001127+#define MT_DBG_PSE_PBUF_CTRL MT_DBG_PSE(__DBG_REG_OFFS(dev, DBG_PSE_PBUF_CTRL))
1128+#define MT_DBG_PSE_QUEUE_EMPTY MT_DBG_PSE(0x0b0)
1129+#define MT_DBG_PSE_FREEPG_CNT MT_DBG_PSE(__DBG_REG_OFFS(dev, DBG_PSE_FREEPG_CNT))
1130+#define MT_DBG_PSE_FREEPG_HEAD_TAIL MT_DBG_PSE(__DBG_REG_OFFS(dev, DBG_PSE_FREEPG_HEAD_TAIL))
1131+#define MT_DBG_PSE_PG_HIF0_GROUP MT_DBG_PSE(0x110)
1132+#define MT_DBG_PSE_HIF0_PG_INFO MT_DBG_PSE(__DBG_REG_OFFS(dev, DBG_PSE_HIF0_PG_INFO))
1133+#define MT_DBG_PSE_PG_HIF1_GROUP MT_DBG_PSE(__DBG_REG_OFFS(dev, DBG_PSE_PG_HIF1_GROUP))
1134+#define MT_DBG_PSE_HIF1_PG_INFO MT_DBG_PSE(__DBG_REG_OFFS(dev, DBG_PSE_HIF1_PG_INFO))
1135+#define MT_DBG_PSE_PG_CPU_GROUP MT_DBG_PSE(__DBG_REG_OFFS(dev, DBG_PSE_PG_CPU_GROUP))
1136+#define MT_DBG_PSE_CPU_PG_INFO MT_DBG_PSE(__DBG_REG_OFFS(dev, DBG_PSE_CPU_PG_INFO))
1137+#define MT_DBG_PSE_PG_LMAC0_GROUP MT_DBG_PSE(__DBG_REG_OFFS(dev, DBG_PSE_PG_LMAC0_GROUP))
1138+#define MT_DBG_PSE_LMAC0_PG_INFO MT_DBG_PSE(__DBG_REG_OFFS(dev, DBG_PSE_LMAC0_PG_INFO))
1139+#define MT_DBG_PSE_PG_LMAC1_GROUP MT_DBG_PSE(__DBG_REG_OFFS(dev, DBG_PSE_PG_LMAC1_GROUP))
1140+#define MT_DBG_PSE_LMAC1_PG_INFO MT_DBG_PSE(__DBG_REG_OFFS(dev, DBG_PSE_LMAC1_PG_INFO))
1141+#define MT_DBG_PSE_PG_LMAC2_GROUP MT_DBG_PSE(__DBG_REG_OFFS(dev, DBG_PSE_PG_LMAC2_GROUP))
1142+#define MT_DBG_PSE_LMAC2_PG_INFO MT_DBG_PSE(__DBG_REG_OFFS(dev, DBG_PSE_LMAC2_PG_INFO))
1143+#define MT_DBG_PSE_PG_PLE_GROUP MT_DBG_PSE(__DBG_REG_OFFS(dev, DBG_PSE_PG_PLE_GROUP))
1144+#define MT_DBG_PSE_PLE_PG_INFO MT_DBG_PSE(__DBG_REG_OFFS(dev, DBG_PSE_PLE_PG_INFO))
1145+#define MT_DBG_PSE_PG_LMAC3_GROUP MT_DBG_PSE(__DBG_REG_OFFS(dev, DBG_PSE_PG_LMAC3_GROUP))
1146+#define MT_DBG_PSE_LMAC3_PG_INFO MT_DBG_PSE(__DBG_REG_OFFS(dev, DBG_PSE_LMAC3_PG_INFO))
1147+#define MT_DBG_PSE_PG_MDP_GROUP MT_DBG_PSE(__DBG_REG_OFFS(dev, DBG_PSE_PG_MDP_GROUP))
1148+#define MT_DBG_PSE_MDP_PG_INFO MT_DBG_PSE(__DBG_REG_OFFS(dev, DBG_PSE_MDP_PG_INFO))
1149+#define MT_DBG_PSE_PG_PLE1_GROUP MT_DBG_PSE(__DBG_REG_OFFS(dev, DBG_PSE_PG_PLE1_GROUP))
1150+#define MT_DBG_PSE_PLE1_PG_INFO MT_DBG_PSE(__DBG_REG_OFFS(dev, DBG_PSE_PLE1_PG_INFO))
developere2cc0fa2022-03-29 17:31:03 +08001151+
1152+#define MT_DBG_PSE_PBUF_CTRL_PAGE_SIZE_CFG_MASK BIT(31)
1153+#define MT_DBG_PSE_PBUF_CTRL_PBUF_OFFSET_MASK GENMASK(25, 17)
1154+#define MT_DBG_PSE_PBUF_CTRL_TOTAL_PAGE_NUM_MASK GENMASK(11, 0)
1155+#define MT_DBG_PSE_QUEUE_EMPTY_RLS_Q_EMTPY_MASK BIT(31)
1156+#define MT_DBG_PSE_QUEUE_EMPTY_MDP_RXIOC_QUEUE_EMPTY_MASK BIT(23)
1157+#define MT_DBG_PSE_QUEUE_EMPTY_MDP_TXIOC_QUEUE_EMPTY_MASK BIT(22)
1158+#define MT_DBG_PSE_QUEUE_EMPTY_SFD_PARK_QUEUE_EMPTY_MASK BIT(21)
1159+#define MT_DBG_PSE_QUEUE_EMPTY_SEC_RX_QUEUE_EMPTY_SHFT BIT(20)
1160+#define MT_DBG_PSE_QUEUE_EMPTY_SEC_TX_QUEUE_EMPTY_MASK BIT(19)
1161+#define MT_DBG_PSE_QUEUE_EMPTY_MDP_RX_QUEUE_EMPTY_MASK BIT(18)
1162+#define MT_DBG_PSE_QUEUE_EMPTY_MDP_TX_QUEUE_EMPTY_MASK BIT(17)
1163+#define MT_DBG_PSE_QUEUE_EMPTY_LMAC_TX_QUEUE_EMPTY_MASK BIT(16)
1164+#define MT_DBG_PSE_QUEUE_EMPTY_HIF_5_EMPTY_MASK BIT(13)
1165+#define MT_DBG_PSE_QUEUE_EMPTY_HIF_4_EMPTY_MASK BIT(12)
1166+#define MT_DBG_PSE_QUEUE_EMPTY_HIF_3_EMPTY_MASK BIT(11)
1167+#define MT_DBG_PSE_QUEUE_EMPTY_HIF_2_EMPTY_MASK BIT(10)
1168+#define MT_DBG_PSE_QUEUE_EMPTY_HIF_1_EMPTY_MASK BIT(9)
1169+#define MT_DBG_PSE_QUEUE_EMPTY_HIF_0_EMPTY_MASK BIT(8)
1170+#define MT_DBG_PSE_QUEUE_EMPTY_CPU_Q3_EMPTY_MASK BIT(3)
1171+#define MT_DBG_PSE_QUEUE_EMPTY_CPU_Q2_EMPTY_MASK BIT(2)
1172+#define MT_DBG_PSE_QUEUE_EMPTY_CPU_Q1_EMPTY_MASK BIT(1)
1173+#define MT_DBG_PSE_QUEUE_EMPTY_CPU_Q0_EMPTY_MASK BIT(0)
1174+#define MT_DBG_PSE_FREEPG_CNT_FFA_CNT_MASK GENMASK(27, 16)
1175+#define MT_DBG_PSE_FREEPG_CNT_FREEPG_CNT_MASK GENMASK(11, 0)
1176+#define MT_DBG_PSE_FREEPG_HEAD_TAIL_FREEPG_TAIL_MASK GENMASK(27, 16)
1177+#define MT_DBG_PSE_FREEPG_HEAD_TAIL_FREEPG_HEAD_MASK GENMASK(11, 0)
1178+#define MT_DBG_PSE_PG_HIF0_GROUP_HIF0_MAX_QUOTA_MASK GENMASK(27, 16)
1179+#define MT_DBG_PSE_PG_HIF0_GROUP_HIF0_MIN_QUOTA_MASK GENMASK(11, 0)
1180+#define MT_DBG_PSE_PG_HIF0_GROUP_HIF0_MAX_QUOTA_MASK GENMASK(27, 16)
1181+#define MT_DBG_PSE_PG_HIF0_GROUP_HIF0_MIN_QUOTA_MASK GENMASK(11, 0)
1182+#define MT_DBG_PSE_HIF0_PG_INFO_HIF0_SRC_CNT_MASK GENMASK(27, 16)
1183+#define MT_DBG_PSE_HIF0_PG_INFO_HIF0_RSV_CNT_MASK GENMASK(11, 0)
1184+#define MT_DBG_PSE_PG_HIF1_GROUP_HIF1_MAX_QUOTA_MASK GENMASK(27, 16)
1185+#define MT_DBG_PSE_PG_HIF1_GROUP_HIF1_MIN_QUOTA_MASK GENMASK(11, 0)
1186+#define MT_DBG_PSE_HIF1_PG_INFO_HIF1_SRC_CNT_MASK GENMASK(27, 16)
1187+#define MT_DBG_PSE_HIF1_PG_INFO_HIF1_RSV_CNT_MASK GENMASK(11, 0)
1188+#define MT_DBG_PSE_CPU_PG_INFO_CPU_SRC_CNT_MASK GENMASK(27, 16)
1189+#define MT_DBG_PSE_CPU_PG_INFO_CPU_RSV_CNT_MASK GENMASK(11, 0)
1190+#define MT_DBG_PSE_PG_PLE_GROUP_PLE_MAX_QUOTA_MASK GENMASK(27, 16)
1191+#define MT_DBG_PSE_PG_PLE_GROUP_PLE_MIN_QUOTA_MASK GENMASK(11, 0)
1192+#define MT_DBG_PSE_PG_CPU_GROUP_CPU_MAX_QUOTA_MASK GENMASK(27, 16)
1193+#define MT_DBG_PSE_PG_CPU_GROUP_CPU_MIN_QUOTA_MASK GENMASK(11, 0)
1194+#define MT_DBG_PSE_PLE_PG_INFO_PLE_SRC_CNT_MASK GENMASK(27, 16)
1195+#define MT_DBG_PSE_PLE_PG_INFO_PLE_RSV_CNT_MASK GENMASK(11, 0)
1196+#define MT_DBG_PSE_PG_LMAC0_GROUP_LMAC0_MAX_QUOTA_MASK GENMASK(27, 16)
1197+#define MT_DBG_PSE_PG_LMAC0_GROUP_LMAC0_MIN_QUOTA_MASK GENMASK(11, 0)
1198+#define MT_DBG_PSE_LMAC0_PG_INFO_LMAC0_SRC_CNT_MASK GENMASK(27, 16)
1199+#define MT_DBG_PSE_LMAC0_PG_INFO_LMAC0_RSV_CNT_MASK GENMASK(11, 0)
1200+#define MT_DBG_PSE_PG_LMAC1_GROUP_LMAC1_MAX_QUOTA_MASK GENMASK(27, 16)
1201+#define MT_DBG_TOP_PG_LMAC1_GROUP_LMAC1_MIN_QUOTA_MASK GENMASK(11, 0)
1202+#define MT_DBG_PSE_LMAC1_PG_INFO_LMAC1_SRC_CNT_MASK GENMASK(27, 16)
1203+#define MT_DBG_PSE_LMAC1_PG_INFO_LMAC1_RSV_CNT_MASK GENMASK(11, 0)
1204+#define MT_DBG_PSE_PG_LMAC2_GROUP_LMAC2_MAX_QUOTA_MASK GENMASK(27, 16)
1205+#define MT_DBG_PSE_PG_LMAC2_GROUP_LMAC2_MIN_QUOTA_MASK GENMASK(11, 0)
1206+#define MT_DBG_PSE_LMAC2_PG_INFO_LMAC2_SRC_CNT_MASK GENMASK(27, 16)
1207+#define MT_DBG_PSE_LMAC2_PG_INFO_LMAC2_RSV_CNT_MASK GENMASK(11, 0)
1208+#define MT_DBG_PSE_PG_LMAC3_GROUP_LMAC3_MAX_QUOTA_MASK GENMASK(27, 16)
1209+#define MT_DBG_TOP_PG_LMAC3_GROUP_LMAC3_MIN_QUOTA_MASK GENMASK(11, 0)
1210+#define MT_DBG_PSE_LMAC3_PG_INFO_LMAC3_SRC_CNT_MASK GENMASK(27, 16)
1211+#define MT_DBG_PSE_LMAC3_PG_INFO_LMAC3_RSV_CNT_MASK GENMASK(11, 0)
1212+#define MT_DBG_PSE_PG_LMAC3_GROUP_LMAC3_MAX_QUOTA_MASK GENMASK(27, 16)
1213+#define MT_DBG_TOP_PG_LMAC3_GROUP_LMAC3_MIN_QUOTA_MASK GENMASK(11, 0)
1214+#define MT_DBG_PSE_PG_MDP_GROUP_MDP_MAX_QUOTA_MASK GENMASK(27, 16)
1215+#define MT_DBG_PSE_PG_MDP_GROUP_MDP_MIN_QUOTA_MASK GENMASK(11, 0)
1216+#define MT_DBG_PSE_MDP_PG_INFO_MDP_SRC_CNT_MASK GENMASK(27, 16)
1217+#define MT_DBG_PSE_MDP_PG_INFO_MDP_RSV_CNT_MASK GENMASK(11, 0)
1218+
1219+#define MT_DBG_PSE_FL_QUE_CTRL_0_ADDR MT_DBG_PLE(0x1b0)
1220+#define MT_DBG_PSE_FL_QUE_CTRL_0_EXECUTE_MASK BIT(31)
1221+#define MT_DBG_PSE_FL_QUE_CTRL_0_Q_BUF_QID_SHFT 24
1222+#define MT_DBG_PSE_FL_QUE_CTRL_0_Q_BUF_PID_SHFT 10
1223+#define MT_DBG_PSE_FL_QUE_CTRL_0_Q_BUF_WLANID_MASK GENMASK(9, 0)
1224+
1225+#define MT_DBG_PSE_FL_QUE_CTRL_2_ADDR MT_DBG_PLE(0x1b8)
1226+#define MT_DBG_PSE_FL_QUE_CTRL_2_QUEUE_TAIL_FID_MASK GENMASK(27, 16)
1227+#define MT_DBG_PSE_FL_QUE_CTRL_2_QUEUE_HEAD_FID_MASK GENMASK(11, 0)
1228+
1229+#define MT_DBG_PSE_FL_QUE_CTRL_3_ADDR MT_DBG_PLE(0x1bc)
1230+#define MT_DBG_PSE_FL_QUE_CTRL_3_QUEUE_PKT_NUM_MASK GENMASK(11, 0)
1231+
1232+
1233+/* AGG */
1234+#define MT_DBG_AGG_BASE(_band) ((_band) ? 0x820f2000 : 0x820e2000)
1235+#define MT_DBG_AGG(_band, ofs) (MT_DBG_AGG_BASE(_band) + (ofs))
1236+
1237+#define MT_DBG_AGG_AALCR0(_band) MT_DBG_AGG((_band), __DBG_REG_OFFS(dev, DBG_AGG_AALCR0))
1238+#define MT_DBG_AGG_AALCR1(_band) MT_DBG_AGG((_band), __DBG_REG_OFFS(dev, DBG_AGG_AALCR1))
1239+#define MT_DBG_AGG_AALCR2(_band) MT_DBG_AGG((_band), __DBG_REG_OFFS(dev, DBG_AGG_AALCR2))
1240+#define MT_DBG_AGG_AALCR3(_band) MT_DBG_AGG((_band), __DBG_REG_OFFS(dev, DBG_AGG_AALCR3))
1241+#define MT_DBG_AGG_AALCR4(_band) MT_DBG_AGG((_band), __DBG_REG_OFFS(dev, DBG_AGG_AALCR4))
1242+#define MT_DBG_AGG_B0BRR0(_band) MT_DBG_AGG((_band), __DBG_REG_OFFS(dev, DBG_AGG_B0BRR0))
1243+#define MT_DBG_AGG_B1BRR0(_band) MT_DBG_AGG((_band), __DBG_REG_OFFS(dev, DBG_AGG_B1BRR0))
1244+#define MT_DBG_AGG_B2BRR0(_band) MT_DBG_AGG((_band), __DBG_REG_OFFS(dev, DBG_AGG_B2BRR0))
1245+#define MT_DBG_AGG_B3BRR0(_band) MT_DBG_AGG((_band), __DBG_REG_OFFS(dev, DBG_AGG_B3BRR0))
1246+#define MT_DBG_AGG_AWSCR(_band, n) MT_DBG_AGG((_band), __DBG_REG_OFFS(dev, DBG_AGG_AWSCR0) + ((n) << 2))
1247+#define MT_DBG_AGG_PCR(_band, n) MT_DBG_AGG((_band), __DBG_REG_OFFS(dev, DBG_AGG_PCR0) + ((n) << 2))
1248+#define MT_DBG_AGG_TTCR(_band, n) MT_DBG_AGG((_band), __DBG_REG_OFFS(dev, DBG_AGG_TTCR0) + ((n) << 2))
1249+#define MT_DBG_MIB_M0ARNG(_band, n) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0ARNG0) + ((n) << 2))
1250+#define MT_DBG_MIB_M0DR2(_band, n) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0DR2) + ((n) << 2))
1251+#define MT_DBG_MIB_M0DR13(_band, n) MT_DBG_MIB((_band), __DBG_REG_OFFS(dev, DBG_MIB_M0DR13) + ((n) << 2))
1252+
1253+#define MT_DBG_AGG_AALCR_ACx3_AGG_LIMIT_MASK GENMASK(31, 24)
1254+#define MT_DBG_AGG_AALCR_ACx2_AGG_LIMIT_MASK GENMASK(23, 16)
1255+#define MT_DBG_AGG_AALCR_ACx1_AGG_LIMIT_MASK GENMASK(15, 8)
1256+#define MT_DBG_AGG_AALCR_ACx0_AGG_LIMIT_MASK GENMASK(7, 0)
1257+#define MT_DBG_AGG_AALCR4_ALTX0_AGG_LIMIT_MASK GENMASK(7, 0)
1258+
1259+#define MT_DBG_AGG_AWSCR0_WINSIZE3_MASK GENMASK(31, 24)
1260+#define MT_DBG_AGG_AWSCR0_WINSIZE2_MASK GENMASK(23, 16)
1261+#define MT_DBG_AGG_AWSCR0_WINSIZE1_MASK GENMASK(15, 8)
1262+#define MT_DBG_AGG_AWSCR0_WINSIZE0_MASK GENMASK(7, 0)
1263+
1264+#define MT_DBG_AGG_AWSCR1_WINSIZE7_MASK GENMASK(31, 24)
1265+#define MT_DBG_AGG_AWSCR1_WINSIZE6_MASK GENMASK(23, 16)
1266+#define MT_DBG_AGG_AWSCR1_WINSIZE5_MASK GENMASK(15, 8)
1267+#define MT_DBG_AGG_AWSCR1_WINSIZE4_MASK GENMASK(7, 0)
1268+
1269+#define MT_DBG_AGG_AWSCR2_WINSIZEB_MASK GENMASK(31, 24)
1270+#define MT_DBG_AGG_AWSCR2_WINSIZEA_MASK GENMASK(23, 16)
1271+#define MT_DBG_AGG_AWSCR2_WINSIZE9_MASK GENMASK(15, 8)
1272+#define MT_DBG_AGG_AWSCR2_WINSIZE8_MASK GENMASK(7, 0)
1273+
1274+#define MT_DBG_AGG_AWSCR3_WINSIZEE_MASK GENMASK(23, 16)
1275+#define MT_DBG_AGG_AWSCR3_WINSIZED_MASK GENMASK(15, 8)
1276+#define MT_DBG_AGG_AWSCR3_WINSIZEC_MASK GENMASK(7, 0)
1277+
1278+#define MT_DBG_MIB_M0ARNG0_AGG_RANG_SEL3_MASK GENMASK(31, 24)
1279+#define MT_DBG_MIB_M0ARNG0_AGG_RANG_SEL2_MASK GENMASK(23, 16)
1280+#define MT_DBG_MIB_M0ARNG0_AGG_RANG_SEL1_MASK GENMASK(15, 8)
1281+#define MT_DBG_MIB_M0ARNG0_AGG_RANG_SEL0_MASK GENMASK(7, 0)
1282+
1283+#define MT_DBG_MIB_M0ARNG1_AGG_RANG_SEL7_MASK GENMASK(31, 24)
1284+#define MT_DBG_MIB_M0ARNG1_AGG_RANG_SEL6_MASK GENMASK(23, 16)
1285+#define MT_DBG_MIB_M0ARNG1_AGG_RANG_SEL5_MASK GENMASK(15, 8)
1286+#define MT_DBG_MIB_M0ARNG1_AGG_RANG_SEL4_MASK GENMASK(7, 0)
1287+
1288+#define MT_DBG_MIB_M0ARNG2_AGG_RANG_SEL11_MASK GENMASK(31, 24)
1289+#define MT_DBG_MIB_M0ARNG2_AGG_RANG_SEL10_MASK GENMASK(23, 16)
1290+#define MT_DBG_MIB_M0ARNG2_AGG_RANG_SEL9_MASK GENMASK(15, 8)
1291+#define MT_DBG_MIB_M0ARNG2_AGG_RANG_SEL8_MASK GENMASK(7, 0)
1292+
1293+#define MT_DBG_MIB_M0ARNG3_AGG_RANG_SEL14_MASK GENMASK(23, 16)
1294+#define MT_DBG_MIB_M0ARNG3_AGG_RANG_SEL13_MASK GENMASK(15, 8)
1295+#define MT_DBG_MIB_M0ARNG3_AGG_RANG_SEL12_MASK GENMASK(7, 0)
1296+
1297+#define MT_DBG_MIB_M0DR2_TRX_AGG_RANGE1_CNT_MASK GENMASK(31, 16)
1298+#define MT_DBG_MIB_M0DR2_TRX_AGG_RANGE0_CNT_MASK GENMASK(15, 0)
1299+#define MT_DBG_MIB_M0DR3_TRX_AGG_RANGE3_CNT_MASK GENMASK(31, 16)
1300+#define MT_DBG_MIB_M0DR3_TRX_AGG_RANGE2_CNT_MASK GENMASK(15, 0)
1301+#define MT_DBG_MIB_M0DR4_TRX_AGG_RANGE5_CNT_MASK GENMASK(31, 16)
1302+#define MT_DBG_MIB_M0DR4_TRX_AGG_RANGE4_CNT_MASK GENMASK(15, 0)
1303+#define MT_DBG_MIB_M0DR5_TRX_AGG_RANGE7_CNT_MASK GENMASK(31, 16)
1304+#define MT_DBG_MIB_M0DR5_TRX_AGG_RANGE6_CNT_MASK GENMASK(15, 0)
1305+#define MT_DBG_MIB_M0DR13_TRX_AGG_RANGE9_CNT_MASK GENMASK(31, 16)
1306+#define MT_DBG_MIB_M0DR13_TRX_AGG_RANGE8_CNT_MASK GENMASK(15, 0)
1307+#define MT_DBG_MIB_M0DR14_TRX_AGG_RANGE11_CNT_MASK GENMASK(31, 16)
1308+#define MT_DBG_MIB_M0DR14_TRX_AGG_RANGE10_CNT_MASK GENMASK(15, 0)
1309+#define MT_DBG_MIB_M0DR15_TRX_AGG_RANGE13_CNT_MASK GENMASK(31, 16)
1310+#define MT_DBG_MIB_M0DR15_TRX_AGG_RANGE12_CNT_MASK GENMASK(15, 0)
1311+#define MT_DBG_MIB_M0DR16_TRX_AGG_RANGE15_CNT_MASK GENMASK(31, 16)
1312+#define MT_DBG_MIB_M0DR16_TRX_AGG_RANGE14_CNT_MASK GENMASK(15, 0)
1313+
1314+/* mt7915 host DMA*/
1315+#define MT_DBG_INT_DMA1_R0_DONE BIT(0)
1316+#define MT_DBG_INT_DMA1_R1_DONE BIT(1)
1317+#define MT_DBG_INT_DMA1_R2_DONE BIT(2)
1318+
1319+#define MT_DBG_INT_DMA1_T16_DONE BIT(26)
1320+#define MT_DBG_INT_DMA1_T17_DONE BIT(27)
1321+#define MT_DBG_INT_DMA1_T18_DONE BIT(30)
1322+#define MT_DBG_INT_DMA1_T19_DONE BIT(31)
1323+#define MT_DBG_INT_DMA1_T20_DONE BIT(15)
1324+
1325+#define MT_DBG_EXT_WRAP_INT_DMA0_R0_DONE BIT(16)
1326+#define MT_DBG_EXT_WRAP_INT_DMA0_R1_DONE BIT(17)
1327+
1328+/* mt7986 host DMA */
1329+#define MT_DBG_INT_DMA0_R0_DONE BIT(0)
1330+#define MT_DBG_INT_DMA0_R1_DONE BIT(1)
1331+#define MT_DBG_INT_DMA0_R2_DONE BIT(2)
1332+#define MT_DBG_INT_DMA0_R3_DONE BIT(3)
1333+#define MT_DBG_INT_DMA0_R4_DONE BIT(22)
1334+#define MT_DBG_INT_DMA0_R5_DONE BIT(23)
1335+
1336+#define MT_DBG_INT_DMA0_T16_DONE BIT(26)
1337+#define MT_DBG_INT_DMA0_T17_DONE BIT(27)
1338+#define MT_DBG_INT_DMA0_T18_DONE BIT(30)
1339+#define MT_DBG_INT_DMA0_T19_DONE BIT(31)
1340+#define MT_DBG_INT_DMA0_T20_DONE BIT(25)
1341+
1342+/* MCU DMA */
1343+#define WF_WFDMA_MCU_DMA0_BASE 0x54000000
1344+#define WF_WFDMA_MCU_DMA0_HOST_INT_STA_ADDR (WF_WFDMA_MCU_DMA0_BASE + 0x200) // 0200
1345+#define WF_WFDMA_MCU_DMA0_HOST_INT_ENA_ADDR (WF_WFDMA_MCU_DMA0_BASE + 0X204) // 0204
1346+#define WF_WFDMA_MCU_DMA0_WPDMA_GLO_CFG_ADDR (WF_WFDMA_MCU_DMA0_BASE + 0x208) // 0208
1347+#define WF_WFDMA_MCU_DMA0_WPDMA_GLO_CFG_RX_DMA_BUSY_MASK 0x00000008 // RX_DMA_BUSY[3]
1348+#define WF_WFDMA_MCU_DMA0_WPDMA_GLO_CFG_RX_DMA_BUSY_SHFT 3
1349+#define WF_WFDMA_MCU_DMA0_WPDMA_GLO_CFG_RX_DMA_EN_MASK 0x00000004 // RX_DMA_EN[2]
1350+#define WF_WFDMA_MCU_DMA0_WPDMA_GLO_CFG_RX_DMA_EN_SHFT 2
1351+#define WF_WFDMA_MCU_DMA0_WPDMA_GLO_CFG_TX_DMA_BUSY_MASK 0x00000002 // TX_DMA_BUSY[1]
1352+#define WF_WFDMA_MCU_DMA0_WPDMA_GLO_CFG_TX_DMA_BUSY_SHFT 1
1353+#define WF_WFDMA_MCU_DMA0_WPDMA_GLO_CFG_TX_DMA_EN_MASK 0x00000001 // TX_DMA_EN[0]
1354+#define WF_WFDMA_MCU_DMA0_WPDMA_GLO_CFG_TX_DMA_EN_SHFT 0
1355+
1356+#define WF_WFDMA_MCU_DMA1_BASE 0x55000000
1357+#define WF_WFDMA_MCU_DMA1_HOST_INT_STA_ADDR (WF_WFDMA_MCU_DMA1_BASE + 0x200) // 0200
1358+#define WF_WFDMA_MCU_DMA1_HOST_INT_ENA_ADDR (WF_WFDMA_MCU_DMA1_BASE + 0X204) // 0204
1359+#define WF_WFDMA_MCU_DMA1_WPDMA_GLO_CFG_ADDR (WF_WFDMA_MCU_DMA1_BASE + 0x208) // 0208
1360+#define WF_WFDMA_MCU_DMA1_WPDMA_GLO_CFG_RX_DMA_BUSY_MASK 0x00000008 // RX_DMA_BUSY[3]
1361+#define WF_WFDMA_MCU_DMA1_WPDMA_GLO_CFG_RX_DMA_BUSY_SHFT 3
1362+#define WF_WFDMA_MCU_DMA1_WPDMA_GLO_CFG_RX_DMA_EN_MASK 0x00000004 // RX_DMA_EN[2]
1363+#define WF_WFDMA_MCU_DMA1_WPDMA_GLO_CFG_RX_DMA_EN_SHFT 2
1364+#define WF_WFDMA_MCU_DMA1_WPDMA_GLO_CFG_TX_DMA_BUSY_MASK 0x00000002 // TX_DMA_BUSY[1]
1365+#define WF_WFDMA_MCU_DMA1_WPDMA_GLO_CFG_TX_DMA_BUSY_SHFT 1
1366+#define WF_WFDMA_MCU_DMA1_WPDMA_GLO_CFG_TX_DMA_EN_MASK 0x00000001 // TX_DMA_EN[0]
1367+#define WF_WFDMA_MCU_DMA1_WPDMA_GLO_CFG_TX_DMA_EN_SHFT 0
1368+#define WF_WFDMA_MCU_DMA1_WPDMA_TX_RING0_CTRL0_ADDR (WF_WFDMA_MCU_DMA1_BASE + 0x300) // 0300
1369+#define WF_WFDMA_MCU_DMA1_WPDMA_TX_RING1_CTRL0_ADDR (WF_WFDMA_MCU_DMA1_BASE + 0x310) // 0310
1370+#define WF_WFDMA_MCU_DMA1_WPDMA_TX_RING2_CTRL0_ADDR (WF_WFDMA_MCU_DMA1_BASE + 0x320) // 0320
1371+#define WF_WFDMA_MCU_DMA1_WPDMA_RX_RING0_CTRL0_ADDR (WF_WFDMA_MCU_DMA1_BASE + 0x500) // 0500
1372+#define WF_WFDMA_MCU_DMA1_WPDMA_RX_RING1_CTRL0_ADDR (WF_WFDMA_MCU_DMA1_BASE + 0x510) // 0510
1373+#define WF_WFDMA_MCU_DMA1_WPDMA_RX_RING2_CTRL0_ADDR (WF_WFDMA_MCU_DMA1_BASE + 0x520) // 0520
1374+#define WF_WFDMA_MCU_DMA1_WPDMA_RX_RING3_CTRL0_ADDR (WF_WFDMA_MCU_DMA1_BASE + 0x530) // 0530
1375+#define WF_WFDMA_MCU_DMA1_WPDMA_RX_RING4_CTRL0_ADDR (WF_WFDMA_MCU_DMA1_BASE + 0x540) // 0540
1376+
1377+#define WF_WFDMA_MCU_DMA1_PCIE1_BASE 0x59000000
1378+#define WF_WFDMA_MCU_DMA1_PCIE1_HOST_INT_STA_ADDR (WF_WFDMA_MCU_DMA1_PCIE1_BASE + 0x200) // 0200
1379+#define WF_WFDMA_MCU_DMA1_PCIE1_HOST_INT_ENA_ADDR (WF_WFDMA_MCU_DMA1_PCIE1_BASE + 0X204) // 0204
1380+#define WF_WFDMA_MCU_DMA1_PCIE1_WPDMA_GLO_CFG_ADDR (WF_WFDMA_MCU_DMA1_PCIE1_BASE + 0x208) // 0208
1381+#define WF_WFDMA_MCU_DMA1_PCIE1_WPDMA_GLO_CFG_RX_DMA_BUSY_MASK 0x00000008 // RX_DMA_BUSY[3]
1382+#define WF_WFDMA_MCU_DMA1_PCIE1_WPDMA_GLO_CFG_RX_DMA_BUSY_SHFT 3
1383+#define WF_WFDMA_MCU_DMA1_PCIE1_WPDMA_GLO_CFG_RX_DMA_EN_MASK 0x00000004 // RX_DMA_EN[2]
1384+#define WF_WFDMA_MCU_DMA1_PCIE1_WPDMA_GLO_CFG_RX_DMA_EN_SHFT 2
1385+#define WF_WFDMA_MCU_DMA1_PCIE1_WPDMA_GLO_CFG_TX_DMA_BUSY_MASK 0x00000002 // TX_DMA_BUSY[1]
1386+#define WF_WFDMA_MCU_DMA1_PCIE1_WPDMA_GLO_CFG_TX_DMA_BUSY_SHFT 1
1387+#define WF_WFDMA_MCU_DMA1_PCIE1_WPDMA_GLO_CFG_TX_DMA_EN_MASK 0x00000001 // TX_DMA_EN[0]
1388+#define WF_WFDMA_MCU_DMA1_PCIE1_WPDMA_GLO_CFG_TX_DMA_EN_SHFT 0
1389+#define WF_WFDMA_MCU_DMA1_PCIE1_WPDMA_TX_RING2_CTRL0_ADDR (WF_WFDMA_MCU_DMA1_PCIE1_BASE + 0x320) // 0320
1390+#define WF_WFDMA_MCU_DMA1_PCIE1_WPDMA_RX_RING3_CTRL0_ADDR (WF_WFDMA_MCU_DMA1_PCIE1_BASE + 0x530) // 0530
1391+
1392+#define WF_WFDMA_MCU_DMA0_WPDMA_TX_RING0_CTRL0_ADDR (WF_WFDMA_MCU_DMA0_BASE + 0x300) // 0300
1393+#define WF_WFDMA_MCU_DMA0_WPDMA_TX_RING1_CTRL0_ADDR (WF_WFDMA_MCU_DMA0_BASE + 0x310) // 0310
1394+#define WF_WFDMA_MCU_DMA0_WPDMA_TX_RING2_CTRL0_ADDR (WF_WFDMA_MCU_DMA0_BASE + 0x320) // 0320
1395+/* mt7986 add */
1396+#define WF_WFDMA_MCU_DMA0_WPDMA_TX_RING3_CTRL0_ADDR (WF_WFDMA_MCU_DMA0_BASE + 0x330) // 0330
1397+#define WF_WFDMA_MCU_DMA0_WPDMA_TX_RING4_CTRL0_ADDR (WF_WFDMA_MCU_DMA0_BASE + 0x340) // 0340
1398+#define WF_WFDMA_MCU_DMA0_WPDMA_TX_RING5_CTRL0_ADDR (WF_WFDMA_MCU_DMA0_BASE + 0x350) // 0350
1399+#define WF_WFDMA_MCU_DMA0_WPDMA_TX_RING6_CTRL0_ADDR (WF_WFDMA_MCU_DMA0_BASE + 0x360) // 0360
1400+
1401+
1402+#define WF_WFDMA_MCU_DMA0_WPDMA_RX_RING0_CTRL0_ADDR (WF_WFDMA_MCU_DMA0_BASE + 0x500) // 0500
1403+#define WF_WFDMA_MCU_DMA0_WPDMA_RX_RING1_CTRL0_ADDR (WF_WFDMA_MCU_DMA0_BASE + 0x510) // 0510
1404+#define WF_WFDMA_MCU_DMA0_WPDMA_RX_RING2_CTRL0_ADDR (WF_WFDMA_MCU_DMA0_BASE + 0x520) // 0520
1405+#define WF_WFDMA_MCU_DMA0_WPDMA_RX_RING3_CTRL0_ADDR (WF_WFDMA_MCU_DMA0_BASE + 0x530) // 0530
1406+#define WF_WFDMA_MCU_DMA0_WPDMA_RX_RING4_CTRL0_ADDR (WF_WFDMA_MCU_DMA0_BASE + 0x540) // 0540
1407+
1408+/* mt7986 add */
1409+#define WF_WFDMA_MCU_DMA0_WPDMA_RX_RING5_CTRL0_ADDR (WF_WFDMA_MCU_DMA0_BASE + 0x550) // 0550
1410+#define WF_WFDMA_MCU_DMA0_WPDMA_RX_RING6_CTRL0_ADDR (WF_WFDMA_MCU_DMA0_BASE + 0x560) // 0560
1411+#define WF_WFDMA_MCU_DMA0_WPDMA_RX_RING7_CTRL0_ADDR (WF_WFDMA_MCU_DMA0_BASE + 0x570) // 0570
1412+#define WF_WFDMA_MCU_DMA0_WPDMA_RX_RING8_CTRL0_ADDR (WF_WFDMA_MCU_DMA0_BASE + 0x580) // 0580
1413+#define WF_WFDMA_MCU_DMA0_WPDMA_RX_RING9_CTRL0_ADDR (WF_WFDMA_MCU_DMA0_BASE + 0x590) // 0590
1414+
1415+/* MEM DMA */
1416+#define WF_WFDMA_MEM_DMA_BASE 0x58000000
1417+#define WF_WFDMA_MEM_DMA_HOST_INT_STA_ADDR (WF_WFDMA_MEM_DMA_BASE + 0x200) // 0200
1418+#define WF_WFDMA_MEM_DMA_HOST_INT_ENA_ADDR (WF_WFDMA_MEM_DMA_BASE + 0X204) // 0204
1419+#define WF_WFDMA_MEM_DMA_WPDMA_GLO_CFG_ADDR (WF_WFDMA_MEM_DMA_BASE + 0x208) // 0208
1420+#define WF_WFDMA_MEM_DMA_WPDMA_GLO_CFG_RX_DMA_BUSY_MASK 0x00000008 // RX_DMA_BUSY[3]
1421+#define WF_WFDMA_MEM_DMA_WPDMA_GLO_CFG_RX_DMA_BUSY_SHFT 3
1422+#define WF_WFDMA_MEM_DMA_WPDMA_GLO_CFG_RX_DMA_EN_MASK 0x00000004 // RX_DMA_EN[2]
1423+#define WF_WFDMA_MEM_DMA_WPDMA_GLO_CFG_RX_DMA_EN_SHFT 2
1424+#define WF_WFDMA_MEM_DMA_WPDMA_GLO_CFG_TX_DMA_BUSY_MASK 0x00000002 // TX_DMA_BUSY[1]
1425+#define WF_WFDMA_MEM_DMA_WPDMA_GLO_CFG_TX_DMA_BUSY_SHFT 1
1426+#define WF_WFDMA_MEM_DMA_WPDMA_GLO_CFG_TX_DMA_EN_MASK 0x00000001 // TX_DMA_EN[0]
1427+#define WF_WFDMA_MEM_DMA_WPDMA_GLO_CFG_TX_DMA_EN_SHFT 0
1428+#define WF_WFDMA_MEM_DMA_WPDMA_TX_RING0_CTRL0_ADDR (WF_WFDMA_MEM_DMA_BASE + 0x300) // 0300
1429+#define WF_WFDMA_MEM_DMA_WPDMA_TX_RING1_CTRL0_ADDR (WF_WFDMA_MEM_DMA_BASE + 0x310) // 0310
1430+#define WF_WFDMA_MEM_DMA_WPDMA_RX_RING0_CTRL0_ADDR (WF_WFDMA_MEM_DMA_BASE + 0x500) // 0500
1431+#define WF_WFDMA_MEM_DMA_WPDMA_RX_RING1_CTRL0_ADDR (WF_WFDMA_MEM_DMA_BASE + 0x510) // 0510
1432+
1433+enum resource_attr {
1434+ HIF_TX_DATA,
1435+ HIF_TX_CMD,
1436+ HIF_TX_CMD_WM, /* direct path to WMCPU, only exist for WFDMA arch with 2 CPU */
1437+ HIF_TX_FWDL,
1438+ HIF_RX_DATA,
1439+ HIF_RX_EVENT,
1440+ RING_ATTR_NUM
1441+};
1442+
1443+struct hif_pci_tx_ring_desc {
1444+ u32 hw_int_mask;
1445+ u16 ring_size;
1446+ enum resource_attr ring_attr;
1447+ u8 band_idx;
1448+ char *const ring_info;
1449+};
1450+
1451+struct hif_pci_rx_ring_desc {
1452+ u32 hw_desc_base;
1453+ u32 hw_int_mask;
1454+ u16 ring_size;
1455+ enum resource_attr ring_attr;
1456+ u16 max_rx_process_cnt;
1457+ u16 max_sw_read_idx_inc;
1458+ char *const ring_info;
1459+};
1460+
1461+const struct hif_pci_tx_ring_desc mt7915_tx_ring_layout[] = {
1462+ {
1463+ .hw_int_mask = MT_DBG_INT_DMA1_T16_DONE,
1464+ .ring_size = 128,
1465+ .ring_attr = HIF_TX_FWDL,
1466+ .ring_info = "FWDL"
1467+ },
1468+ {
1469+ .hw_int_mask = MT_DBG_INT_DMA1_T17_DONE,
1470+ .ring_size = 256,
1471+ .ring_attr = HIF_TX_CMD_WM,
1472+ .ring_info = "cmd to WM"
1473+ },
1474+ {
1475+ .hw_int_mask = MT_DBG_INT_DMA1_T18_DONE,
1476+ .ring_size = 2048,
1477+ .ring_attr = HIF_TX_DATA,
1478+ .ring_info = "band0 TXD"
1479+ },
1480+ {
1481+ .hw_int_mask = MT_DBG_INT_DMA1_T19_DONE,
1482+ .ring_size = 2048,
1483+ .ring_attr = HIF_TX_DATA,
1484+ .ring_info = "band1 TXD"
1485+ },
1486+ {
1487+ .hw_int_mask = MT_DBG_INT_DMA1_T20_DONE,
1488+ .ring_size = 256,
1489+ .ring_attr = HIF_TX_CMD,
1490+ .ring_info = "cmd to WA"
1491+ }
1492+};
1493+
1494+const struct hif_pci_rx_ring_desc mt7915_rx_ring_layout[] = {
1495+ {
1496+ .hw_int_mask = MT_DBG_EXT_WRAP_INT_DMA0_R0_DONE,
1497+ .ring_size = 1536,
1498+ .ring_attr = HIF_RX_DATA,
1499+ .ring_info = "band0 RX data"
1500+ },
1501+ {
1502+ .hw_int_mask = MT_DBG_EXT_WRAP_INT_DMA0_R1_DONE,
1503+ .ring_size = 1536,
1504+ .ring_attr = HIF_RX_DATA,
1505+ .ring_info = "band1 RX data"
1506+ },
1507+ {
1508+ .hw_int_mask = MT_DBG_INT_DMA1_R0_DONE,
1509+ .ring_size = 512,
1510+ .ring_attr = HIF_RX_EVENT,
1511+ .ring_info = "event from WM"
1512+ },
1513+ {
1514+ .hw_int_mask = MT_DBG_INT_DMA1_R1_DONE,
1515+ .ring_size = 1024,
1516+ .ring_attr = HIF_RX_EVENT,
1517+ .ring_info = "event from WA band0"
1518+ },
1519+ {
1520+ .hw_int_mask = MT_DBG_INT_DMA1_R2_DONE,
1521+ .ring_size = 512,
1522+ .ring_attr = HIF_RX_EVENT,
1523+ .ring_info = "event from WA band1"
1524+ }
1525+};
1526+
1527+const struct hif_pci_tx_ring_desc mt7986_tx_ring_layout[] = {
1528+ {
1529+ .hw_int_mask = MT_DBG_INT_DMA0_T16_DONE,
1530+ .ring_size = 128,
1531+ .ring_attr = HIF_TX_FWDL,
1532+ .ring_info = "FWDL"
1533+ },
1534+ {
1535+ .hw_int_mask = MT_DBG_INT_DMA0_T17_DONE,
1536+ .ring_size = 256,
1537+ .ring_attr = HIF_TX_CMD_WM,
1538+ .ring_info = "cmd to WM"
1539+ },
1540+ {
1541+ .hw_int_mask = MT_DBG_INT_DMA0_T18_DONE,
1542+ .ring_size = 2048,
1543+ .ring_attr = HIF_TX_DATA,
1544+ .ring_info = "band0 TXD"
1545+ },
1546+ {
1547+ .hw_int_mask = MT_DBG_INT_DMA0_T19_DONE,
1548+ .ring_size = 2048,
1549+ .ring_attr = HIF_TX_DATA,
1550+ .ring_info = "band1 TXD"
1551+ },
1552+ {
1553+ .hw_int_mask = MT_DBG_INT_DMA0_T20_DONE,
1554+ .ring_size = 256,
1555+ .ring_attr = HIF_TX_CMD,
1556+ .ring_info = "cmd to WA"
1557+ }
1558+};
1559+
1560+const struct hif_pci_rx_ring_desc mt7986_rx_ring_layout[] = {
1561+ {
1562+ .hw_int_mask = MT_DBG_INT_DMA0_R4_DONE,
1563+ .ring_size = 1536,
1564+ .ring_attr = HIF_RX_DATA,
1565+ .ring_info = "band0 RX data"
1566+ },
1567+ {
1568+ .hw_int_mask = MT_DBG_INT_DMA0_R5_DONE,
1569+ .ring_size = 1536,
1570+ .ring_attr = HIF_RX_DATA,
1571+ .ring_info = "band1 RX data"
1572+ },
1573+ {
1574+ .hw_int_mask = MT_DBG_INT_DMA0_R0_DONE,
1575+ .ring_size = 512,
1576+ .ring_attr = HIF_RX_EVENT,
1577+ .ring_info = "event from WM"
1578+ },
1579+ {
1580+ .hw_int_mask = MT_DBG_INT_DMA0_R1_DONE,
1581+ .ring_size = 512,
1582+ .ring_attr = HIF_RX_EVENT,
1583+ .ring_info = "event from WA"
1584+ },
1585+ {
1586+ .hw_int_mask = MT_DBG_INT_DMA0_R2_DONE,
1587+ .ring_size = 1024,
1588+ .ring_attr = HIF_RX_EVENT,
1589+ .ring_info = "STS WA band0"
1590+ },
1591+ {
1592+ .hw_int_mask = MT_DBG_INT_DMA0_R3_DONE,
1593+ .ring_size = 512,
1594+ .ring_attr = HIF_RX_EVENT,
1595+ .ring_info = "STS WA band1"
1596+ },
1597+};
1598+
1599+/* mibinfo related CRs. */
1600+#define BN0_WF_MIB_TOP_BASE 0x820ed000
1601+#define BN1_WF_MIB_TOP_BASE 0x820fd000
1602+
1603+#define BN0_WF_MIB_TOP_BTOCR_ADDR (BN0_WF_MIB_TOP_BASE + 0x400) // D400
1604+#define BN0_WF_MIB_TOP_BTBCR_ADDR (BN0_WF_MIB_TOP_BASE + 0x428) // D428
1605+#define BN0_WF_MIB_TOP_BTDCR_ADDR (BN0_WF_MIB_TOP_BASE + 0x4F0) // D4F0
1606+
1607+#define BN0_WF_MIB_TOP_BSDR0_ADDR (BN0_WF_MIB_TOP_BASE + 0x688) // D688
1608+#define BN0_WF_MIB_TOP_BSDR1_ADDR (BN0_WF_MIB_TOP_BASE + 0x690) // D690
1609+
1610+#define BN0_WF_MIB_TOP_BSDR2_ADDR (BN0_WF_MIB_TOP_BASE + 0x518) // D518
1611+#define BN0_WF_MIB_TOP_BSDR3_ADDR (BN0_WF_MIB_TOP_BASE + 0x520) // D520
1612+#define BN0_WF_MIB_TOP_BSDR4_ADDR (BN0_WF_MIB_TOP_BASE + 0x528) // D528
1613+#define BN0_WF_MIB_TOP_BSDR5_ADDR (BN0_WF_MIB_TOP_BASE + 0x530) // D530
1614+#define BN0_WF_MIB_TOP_BSDR6_ADDR (BN0_WF_MIB_TOP_BASE + 0x538) // D538
1615+
1616+#define BN0_WF_MIB_TOP_BROCR_ADDR (BN0_WF_MIB_TOP_BASE + 0x5B8) // D5B8
1617+#define BN0_WF_MIB_TOP_BRBCR_ADDR (BN0_WF_MIB_TOP_BASE + 0x5E0) // D5E0
1618+#define BN0_WF_MIB_TOP_BRDCR_ADDR (BN0_WF_MIB_TOP_BASE + 0x630) // D630
1619+
1620+#define BN0_WF_MIB_TOP_M0SDR0_BEACONTXCOUNT_MASK 0x0000FFFF // BEACONTXCOUNT[15..0]
1621+
1622+#define BN0_WF_MIB_TOP_M0SDR4_RX_FIFO_FULL_COUNT_MASK 0x0000FFFF // RX_FIFO_FULL_COUNT[15..0]
1623+
1624+#define BN0_WF_MIB_TOP_M0SDR5_RX_MPDU_COUNT_MASK 0xFFFFFFFF // RX_MPDU_COUNT[31..0]
1625+
1626+#define BN0_WF_MIB_TOP_M0SDR6_CHANNEL_IDLE_COUNT_MASK 0x0000FFFF // CHANNEL_IDLE_COUNT[15..0]
1627+
1628+#define BN1_WF_MIB_TOP_BTOCR_ADDR (BN1_WF_MIB_TOP_BASE + 0x400) // D400
1629+
1630+#define BN0_WF_MIB_TOP_M0SDR7_VEC_MISS_COUNT_MASK 0x0000FFFF // VEC_MISS_COUNT[15..0]
1631+#define BN0_WF_MIB_TOP_M0SDR8_DELIMITER_FAIL_COUNT_MASK 0x0000FFFF // DELIMITER_FAIL_COUNT[15..0]
1632+#define BN0_WF_MIB_TOP_M0SDR9_CCA_NAV_TX_TIME_MASK 0x00FFFFFF // CCA_NAV_TX_TIME[23..0]
1633+
1634+#define BN0_WF_MIB_TOP_M0SDR11_RX_LEN_MISMATCH_MASK 0x0000FFFF // RX_LEN_MISMATCH[15..0]
1635+
1636+#define BN0_WF_MIB_TOP_M0SDR16_P_CCA_TIME_MASK 0x00FFFFFF // P_CCA_TIME[23..0]
1637+#define BN0_WF_MIB_TOP_M0SDR17_S_CCA_TIME_MASK 0x00FFFFFF // S_CCA_TIME[23..0]
1638+#define BN0_WF_MIB_TOP_M0SDR18_P_ED_TIME_MASK 0x00FFFFFF // P_ED_TIME[23..0]
1639+#define BN0_WF_MIB_TOP_M0SDR19_CCK_MDRDY_TIME_MASK 0x00FFFFFF // CCK_MDRDY_TIME[23..0]
1640+#define BN0_WF_MIB_TOP_M0SDR20_OFDM_LG_MIXED_VHT_MDRDY_TIME_MASK 0x00FFFFFF // OFDM_LG_MIXED_VHT_MDRDY_TIME[23..0]
1641+#define BN0_WF_MIB_TOP_M0SDR21_OFDM_GREEN_MDRDY_TIME_MASK 0x00FFFFFF // OFDM_GREEN_MDRDY_TIME[23..0]
1642+
1643+#define BN0_WF_MIB_TOP_M0SDR22_ADDR (BN0_WF_MIB_TOP_BASE + 0x60) // D060
1644+#define BN0_WF_MIB_TOP_M0SDR23_ADDR (BN0_WF_MIB_TOP_BASE + 0x64) // D064
1645+
1646+#define BN0_WF_MIB_TOP_M0SDR34_MUBF_TX_COUNT_MASK 0x0000FFFF // MUBF_TX_COUNT[15..0]
1647+
1648+#define BN0_WF_MIB_TOP_M0DR0_TX_40MHZ_CNT_MASK 0xFFFF0000 // TX_40MHZ_CNT[31..16]
1649+#define BN0_WF_MIB_TOP_M0DR0_TX_40MHZ_CNT_SHFT 16
1650+#define BN0_WF_MIB_TOP_M0DR0_TX_20MHZ_CNT_MASK 0x0000FFFF // TX_20MHZ_CNT[15..0]
1651+#define BN0_WF_MIB_TOP_M0DR0_TX_20MHZ_CNT_SHFT 0
1652+
1653+#define BN0_WF_MIB_TOP_M0DR1_TX_160MHZ_CNT_MASK 0xFFFF0000 // TX_160MHZ_CNT[31..16]
1654+#define BN0_WF_MIB_TOP_M0DR1_TX_160MHZ_CNT_SHFT 16
1655+#define BN0_WF_MIB_TOP_M0DR1_TX_80MHZ_CNT_MASK 0x0000FFFF // TX_80MHZ_CNT[15..0]
1656+#define BN0_WF_MIB_TOP_M0DR1_TX_80MHZ_CNT_SHFT 0
1657+
1658+#define BN0_WF_MIB_TOP_M0DR6_TX_DDLMT_RNG2_CNT_MASK 0xFFFF0000 // TX_DDLMT_RNG2_CNT[31..16]
1659+#define BN0_WF_MIB_TOP_M0DR6_TX_DDLMT_RNG2_CNT_SHFT 16
1660+#define BN0_WF_MIB_TOP_M0DR6_TX_DDLMT_RNG1_CNT_MASK 0x0000FFFF // TX_DDLMT_RNG1_CNT[15..0]
1661+#define BN0_WF_MIB_TOP_M0DR6_TX_DDLMT_RNG1_CNT_SHFT 0
1662+
1663+#define BN0_WF_MIB_TOP_M0DR7_TX_DDLMT_RNG4_CNT_MASK 0xFFFF0000 // TX_DDLMT_RNG4_CNT[31..16]
1664+#define BN0_WF_MIB_TOP_M0DR7_TX_DDLMT_RNG4_CNT_SHFT 16
1665+#define BN0_WF_MIB_TOP_M0DR7_TX_DDLMT_RNG3_CNT_MASK 0x0000FFFF // TX_DDLMT_RNG3_CNT[15..0]
1666+#define BN0_WF_MIB_TOP_M0DR7_TX_DDLMT_RNG3_CNT_SHFT 0
1667+
1668+#define BN0_WF_MIB_TOP_M0DR10_MU_FAIL_PPDU_CNT_MASK 0x0000FFFF // MU_FAIL_PPDU_CNT[15..0]
1669+
1670+#define BN0_WF_MIB_TOP_M0B0SDR0_ADDR (BN0_WF_MIB_TOP_BASE + 0x100) // D100
1671+#define BN0_WF_MIB_TOP_M0B0SDR0_RTSRETRYCOUNT_MASK 0xFFFF0000 // RTSRETRYCOUNT[31..16]
1672+#define BN0_WF_MIB_TOP_M0B0SDR0_RTSRETRYCOUNT_SHFT 16
1673+#define BN0_WF_MIB_TOP_M0B0SDR0_RTSTXCOUNT_MASK 0x0000FFFF // RTSTXCOUNT[15..0]
1674+#define BN0_WF_MIB_TOP_M0B0SDR0_RTSTXCOUNT_SHFT 0
1675+#define BN0_WF_MIB_TOP_M0B0SDR1_ADDR (BN0_WF_MIB_TOP_BASE + 0x104) // D104
1676+#define BN0_WF_MIB_TOP_M0B0SDR1_ACKFAILCOUNT_MASK 0xFFFF0000 // ACKFAILCOUNT[31..16]
1677+#define BN0_WF_MIB_TOP_M0B0SDR1_ACKFAILCOUNT_SHFT 16
1678+#define BN0_WF_MIB_TOP_M0B0SDR1_BAMISSCOUNT_MASK 0x0000FFFF // BAMISSCOUNT[15..0]
1679+#define BN0_WF_MIB_TOP_M0B0SDR1_BAMISSCOUNT_SHFT 0
1680+#define BN0_WF_MIB_TOP_M0B0SDR2_ADDR (BN0_WF_MIB_TOP_BASE + 0x108) // D108
1681+#define BN0_WF_MIB_TOP_M0B0SDR2_FRAMERETRY2COUNT_MASK 0xFFFF0000 // FRAMERETRY2COUNT[31..16]
1682+#define BN0_WF_MIB_TOP_M0B0SDR2_FRAMERETRY2COUNT_SHFT 16
1683+#define BN0_WF_MIB_TOP_M0B0SDR2_FRAMERETRYCOUNT_MASK 0x0000FFFF // FRAMERETRYCOUNT[15..0]
1684+#define BN0_WF_MIB_TOP_M0B0SDR2_FRAMERETRYCOUNT_SHFT 0
1685+#define BN0_WF_MIB_TOP_M0B0SDR3_ADDR (BN0_WF_MIB_TOP_BASE + 0x10C) // D10C
1686+#define BN0_WF_MIB_TOP_M0B0SDR3_FRAMERETRY3COUNT_MASK 0x0000FFFF // FRAMERETRY3COUNT[15..0]
1687+#define BN0_WF_MIB_TOP_M0B0SDR3_FRAMERETRY3COUNT_SHFT 0
1688+#define BN0_WF_MIB_TOP_M0DR12_TX_DDLMT_RNG0_CNT_MASK 0x0000FFFF // TX_DDLMT_RNG0_CNT[15..0]
1689+
1690+
1691+#define BN0_WF_MIB_TOP_BTOCR_TX_OK_COUNT2np1_MASK 0xFFFF0000 // TX_OK_COUNT2np1[31..16]
1692+#define BN0_WF_MIB_TOP_BTOCR_TX_OK_COUNT2np1_SHFT 16
1693+#define BN0_WF_MIB_TOP_BTOCR_TX_OK_COUNT2n_MASK 0x0000FFFF // TX_OK_COUNT2n[15..0]
1694+#define BN0_WF_MIB_TOP_BTOCR_TX_OK_COUNT2n_SHFT 0
1695+
1696+#define BN0_WF_MIB_TOP_BTDCR_TX_DATA_COUNT2np1_MASK 0xFFFF0000 // TX_DATA_COUNT2np1[31..16]
1697+#define BN0_WF_MIB_TOP_BTDCR_TX_DATA_COUNT2np1_SHFT 16
1698+#define BN0_WF_MIB_TOP_BTDCR_TX_DATA_COUNT2n_MASK 0x0000FFFF // TX_DATA_COUNT2n[15..0]
1699+#define BN0_WF_MIB_TOP_BTDCR_TX_DATA_COUNT2n_SHFT 0
1700+
1701+#define BN0_WF_MIB_TOP_BROCR_RX_OK_COUNT2np1_MASK 0xFFFF0000 // RX_OK_COUNT2np1[31..16]
1702+#define BN0_WF_MIB_TOP_BROCR_RX_OK_COUNT2np1_SHFT 16
1703+#define BN0_WF_MIB_TOP_BROCR_RX_OK_COUNT2n_MASK 0x0000FFFF // RX_OK_COUNT2n[15..0]
1704+#define BN0_WF_MIB_TOP_BROCR_RX_OK_COUNT2n_SHFT 0
1705+
1706+#define BN0_WF_MIB_TOP_BRDCR_RX_DATA_COUNT2np1_MASK 0xFFFF0000 // RX_DATA_COUNT2np1[31..16]
1707+#define BN0_WF_MIB_TOP_BRDCR_RX_DATA_COUNT2np1_SHFT 16
1708+#define BN0_WF_MIB_TOP_BRDCR_RX_DATA_COUNT2n_MASK 0x0000FFFF // RX_DATA_COUNT2n[15..0]
1709+#define BN0_WF_MIB_TOP_BRDCR_RX_DATA_COUNT2n_SHFT 0
1710+
1711+#define BN0_WF_MIB_TOP_BSDR0_RTSTXCOUNT2np1_MASK 0xFFFF0000 // RTSTXCOUNT2np1[31..16]
1712+#define BN0_WF_MIB_TOP_BSDR0_RTSTXCOUNT2np1_SHFT 16
1713+#define BN0_WF_MIB_TOP_BSDR0_RTSTXCOUNT2n_MASK 0x0000FFFF // RTSTXCOUNT2n[15..0]
1714+#define BN0_WF_MIB_TOP_BSDR0_RTSTXCOUNT2n_SHFT 0
1715+
1716+#define BN0_WF_MIB_TOP_BSDR1_RTSRETRYCOUNT2np1_MASK 0xFFFF0000 // RTSRETRYCOUNT2np1[31..16]
1717+#define BN0_WF_MIB_TOP_BSDR1_RTSRETRYCOUNT2np1_SHFT 16
1718+#define BN0_WF_MIB_TOP_BSDR1_RTSRETRYCOUNT2n_MASK 0x0000FFFF // RTSRETRYCOUNT2n[15..0]
1719+#define BN0_WF_MIB_TOP_BSDR1_RTSRETRYCOUNT2n_SHFT 0
1720+
1721+#define BN0_WF_MIB_TOP_BSDR2_BAMISSCOUNT2np1_MASK 0xFFFF0000 // BAMISSCOUNT2np1[31..16]
1722+#define BN0_WF_MIB_TOP_BSDR2_BAMISSCOUNT2np1_SHFT 16
1723+#define BN0_WF_MIB_TOP_BSDR2_BAMISSCOUNT2n_MASK 0x0000FFFF // BAMISSCOUNT2n[15..0]
1724+#define BN0_WF_MIB_TOP_BSDR2_BAMISSCOUNT2n_SHFT 0
1725+
1726+#define BN0_WF_MIB_TOP_BSDR3_ACKFAILCOUNT2np1_MASK 0xFFFF0000 // ACKFAILCOUNT2np1[31..16]
1727+#define BN0_WF_MIB_TOP_BSDR3_ACKFAILCOUNT2np1_SHFT 16
1728+#define BN0_WF_MIB_TOP_BSDR3_ACKFAILCOUNT2n_MASK 0x0000FFFF // ACKFAILCOUNT2n[15..0]
1729+#define BN0_WF_MIB_TOP_BSDR3_ACKFAILCOUNT2n_SHFT 0
1730+
1731+#define BN0_WF_MIB_TOP_BSDR4_FRAMERETRYCOUNT2np1_MASK 0xFFFF0000 // FRAMERETRYCOUNT2np1[31..16]
1732+#define BN0_WF_MIB_TOP_BSDR4_FRAMERETRYCOUNT2np1_SHFT 16
1733+#define BN0_WF_MIB_TOP_BSDR4_FRAMERETRYCOUNT2n_MASK 0x0000FFFF // FRAMERETRYCOUNT2n[15..0]
1734+#define BN0_WF_MIB_TOP_BSDR4_FRAMERETRYCOUNT2n_SHFT 0
1735+
1736+#define BN0_WF_MIB_TOP_BSDR5_FRAMERETRY2COUNT2np1_MASK 0xFFFF0000 // FRAMERETRY2COUNT2np1[31..16]
1737+#define BN0_WF_MIB_TOP_BSDR5_FRAMERETRY2COUNT2np1_SHFT 16
1738+#define BN0_WF_MIB_TOP_BSDR5_FRAMERETRY2COUNT2n_MASK 0x0000FFFF // FRAMERETRY2COUNT2n[15..0]
1739+#define BN0_WF_MIB_TOP_BSDR5_FRAMERETRY2COUNT2n_SHFT 0
1740+
1741+#define BN0_WF_MIB_TOP_BSDR6_FRAMERETRY3COUNT2np1_MASK 0xFFFF0000 // FRAMERETRY3COUNT2np1[31..16]
1742+#define BN0_WF_MIB_TOP_BSDR6_FRAMERETRY3COUNT2np1_SHFT 16
1743+#define BN0_WF_MIB_TOP_BSDR6_FRAMERETRY3COUNT2n_MASK 0x0000FFFF // FRAMERETRY3COUNT2n[15..0]
1744+#define BN0_WF_MIB_TOP_BSDR6_FRAMERETRY3COUNT2n_SHFT 0
1745+/* TXD */
1746+
1747+#define MT_TXD1_ETYP BIT(15)
1748+#define MT_TXD1_VLAN BIT(14)
1749+#define MT_TXD1_RMVL BIT(13)
1750+#define MT_TXD1_AMS BIT(13)
1751+#define MT_TXD1_EOSP BIT(12)
1752+#define MT_TXD1_MRD BIT(11)
1753+
1754+#define MT_TXD7_CTXD BIT(26)
1755+#define MT_TXD7_CTXD_CNT GENMASK(25, 23)
1756+#define MT_TXD7_TAT GENMASK(9, 0)
1757+
1758+#endif
1759+#endif
1760diff --git a/mt7915/mtk_debugfs.c b/mt7915/mtk_debugfs.c
1761new file mode 100644
developer20747c12022-09-16 14:09:40 +08001762index 00000000..f18c8b6c
developere2cc0fa2022-03-29 17:31:03 +08001763--- /dev/null
1764+++ b/mt7915/mtk_debugfs.c
developerc115a812022-06-22 15:29:14 +08001765@@ -0,0 +1,2923 @@
developere2cc0fa2022-03-29 17:31:03 +08001766+#include<linux/inet.h>
1767+#include "mt7915.h"
1768+#include "mt7915_debug.h"
1769+#include "mac.h"
1770+#include "mcu.h"
1771+
1772+#ifdef MTK_DEBUG
1773+#define LWTBL_IDX2BASE_ID GENMASK(14, 8)
1774+#define LWTBL_IDX2BASE_DW GENMASK(7, 2)
1775+#define LWTBL_IDX2BASE(_id, _dw) (MT_DBG_WTBL_BASE | \
1776+ FIELD_PREP(LWTBL_IDX2BASE_ID, _id) | \
1777+ FIELD_PREP(LWTBL_IDX2BASE_DW, _dw))
1778+
1779+#define UWTBL_IDX2BASE_ID GENMASK(12, 6)
1780+#define UWTBL_IDX2BASE_DW GENMASK(5, 2)
1781+#define UWTBL_IDX2BASE(_id, _dw) (MT_DBG_UWTBL_TOP_BASE | 0x2000 | \
1782+ FIELD_PREP(UWTBL_IDX2BASE_ID, _id) | \
1783+ FIELD_PREP(UWTBL_IDX2BASE_DW, _dw))
1784+
1785+#define KEYTBL_IDX2BASE_KEY GENMASK(12, 6)
1786+#define KEYTBL_IDX2BASE_DW GENMASK(5, 2)
1787+#define KEYTBL_IDX2BASE(_key, _dw) (MT_DBG_UWTBL_TOP_BASE | 0x2000 | \
1788+ FIELD_PREP(KEYTBL_IDX2BASE_KEY, _key) | \
1789+ FIELD_PREP(KEYTBL_IDX2BASE_DW, _dw))
1790+
1791+enum mt7915_wtbl_type {
1792+ WTBL_TYPE_LMAC, /* WTBL in LMAC */
1793+ WTBL_TYPE_UMAC, /* WTBL in UMAC */
1794+ WTBL_TYPE_KEY, /* Key Table */
1795+ MAX_NUM_WTBL_TYPE
1796+};
1797+
1798+static int mt7915_wtbl_read_raw(struct mt7915_dev *dev, u16 idx,
1799+ enum mt7915_wtbl_type type, u16 start_dw,
1800+ u16 len, void *buf)
1801+{
1802+ u32 *dest_cpy = (u32 *)buf;
1803+ u32 size_dw = len;
1804+ u32 src = 0;
1805+
1806+ if (!buf)
1807+ return 0xFF;
1808+
1809+ if (type == WTBL_TYPE_LMAC) {
1810+ mt76_wr(dev, MT_DBG_WTBLON_TOP_WDUCR,
1811+ FIELD_PREP(MT_DBG_WTBLON_TOP_WDUCR_GROUP, (idx >> 7)));
1812+ src = LWTBL_IDX2BASE(idx, start_dw);
1813+ } else if (type == WTBL_TYPE_UMAC) {
1814+ mt76_wr(dev, MT_DBG_UWTBL_TOP_WDUCR,
1815+ FIELD_PREP(MT_UWTBL_TOP_WDUCR_GROUP, (idx >> 7)));
1816+ src = UWTBL_IDX2BASE(idx, start_dw);
1817+ } else if (type == WTBL_TYPE_KEY) {
1818+ mt76_wr(dev, MT_DBG_UWTBL_TOP_WDUCR,
1819+ MT_UWTBL_TOP_WDUCR_TARGET |
1820+ FIELD_PREP(MT_UWTBL_TOP_WDUCR_GROUP, (idx >> 7)));
1821+ src = KEYTBL_IDX2BASE(idx, start_dw);
1822+ }
1823+
1824+ while (size_dw--) {
1825+ *dest_cpy++ = mt76_rr(dev, src);
1826+ src += 4;
1827+ };
1828+
1829+ return 0;
1830+}
1831+
1832+static int mt7915_wtbl_write_raw(struct mt7915_dev *dev, u16 idx,
1833+ enum mt7915_wtbl_type type, u16 start_dw,
1834+ u32 val)
1835+{
1836+ u32 addr = 0;
1837+
1838+ if (type == WTBL_TYPE_LMAC) {
1839+ mt76_wr(dev, MT_DBG_WTBLON_TOP_WDUCR,
1840+ FIELD_PREP(MT_WTBLON_TOP_WDUCR_GROUP, (idx >> 7)));
1841+ addr = LWTBL_IDX2BASE(idx, start_dw);
1842+ } else if (type == WTBL_TYPE_UMAC) {
1843+ mt76_wr(dev, MT_DBG_UWTBL_TOP_WDUCR,
1844+ FIELD_PREP(MT_UWTBL_TOP_WDUCR_GROUP, (idx >> 7)));
1845+ addr = UWTBL_IDX2BASE(idx, start_dw);
1846+ } else if (type == WTBL_TYPE_KEY) {
1847+ mt76_wr(dev, MT_DBG_UWTBL_TOP_WDUCR,
1848+ MT_UWTBL_TOP_WDUCR_TARGET |
1849+ FIELD_PREP(MT_UWTBL_TOP_WDUCR_GROUP, (idx >> 7)));
1850+ addr = KEYTBL_IDX2BASE(idx, start_dw);
1851+ }
1852+
1853+ mt76_wr(dev, addr, val);
1854+
1855+ return 0;
1856+}
1857+
1858+void mt7915_packet_log_to_host(struct mt7915_dev *dev, const void *data, int len, int type, int des_len)
1859+{
1860+ struct bin_debug_hdr *hdr;
1861+ char *buf;
1862+
1863+ if (len > 1500 - sizeof(*hdr))
1864+ len = 1500 - sizeof(*hdr);
1865+
1866+ buf = kzalloc(sizeof(*hdr) + len, GFP_KERNEL);
1867+ if (!buf)
1868+ return;
1869+
1870+ hdr = (struct bin_debug_hdr *)buf;
1871+ hdr->magic_num = cpu_to_le32(PKT_BIN_DEBUG_MAGIC);
1872+ hdr->serial_id = cpu_to_le16(dev->dbg.fwlog_seq++);
1873+ hdr->msg_type = cpu_to_le16(type);
1874+ hdr->len = cpu_to_le16(len);
1875+ hdr->des_len = cpu_to_le16(des_len);
1876+
1877+ memcpy(buf + sizeof(*hdr), data, len);
1878+
1879+ mt7915_debugfs_rx_log(dev, buf, sizeof(*hdr) + len);
1880+}
1881+
1882+static int
1883+mt7915_fw_debug_module_set(void *data, u64 module)
1884+{
1885+ struct mt7915_dev *dev = data;
1886+
1887+ dev->dbg.fw_dbg_module = module;
1888+ return 0;
1889+}
1890+
1891+static int
1892+mt7915_fw_debug_module_get(void *data, u64 *module)
1893+{
1894+ struct mt7915_dev *dev = data;
1895+
1896+ *module = dev->dbg.fw_dbg_module;
1897+ return 0;
1898+}
1899+
1900+DEFINE_DEBUGFS_ATTRIBUTE(fops_fw_debug_module, mt7915_fw_debug_module_get,
1901+ mt7915_fw_debug_module_set, "%lld\n");
1902+
1903+static int
1904+mt7915_fw_debug_level_set(void *data, u64 level)
1905+{
1906+ struct mt7915_dev *dev = data;
1907+
1908+ dev->dbg.fw_dbg_lv = level;
1909+ mt7915_mcu_fw_dbg_ctrl(dev, dev->dbg.fw_dbg_module, dev->dbg.fw_dbg_lv);
1910+ return 0;
1911+}
1912+
1913+static int
1914+mt7915_fw_debug_level_get(void *data, u64 *level)
1915+{
1916+ struct mt7915_dev *dev = data;
1917+
1918+ *level = dev->dbg.fw_dbg_lv;
1919+ return 0;
1920+}
1921+
1922+DEFINE_DEBUGFS_ATTRIBUTE(fops_fw_debug_level, mt7915_fw_debug_level_get,
1923+ mt7915_fw_debug_level_set, "%lld\n");
1924+
1925+#define MAX_TX_MODE 12
1926+static char *HW_TX_MODE_STR[] = {"CCK", "OFDM", "HT-Mix", "HT-GF", "VHT",
1927+ "N/A", "N/A", "N/A", "HE_SU", "HE_EXT_SU",
1928+ "HE_TRIG", "HE_MU", "N/A"};
1929+static char *HW_TX_RATE_CCK_STR[] = {"1M", "2Mlong", "5.5Mlong", "11Mlong",
1930+ "N/A", "2Mshort", "5.5Mshort", "11Mshort",
1931+ "N/A"};
1932+static char *HW_TX_RATE_OFDM_STR[] = {"6M", "9M", "12M", "18M", "24M", "36M",
1933+ "48M", "54M", "N/A"};
1934+static char *fcap_str[] = {"20MHz", "20/40MHz", "20/40/80MHz",
1935+ "20/40/80/160/80+80MHz"};
1936+
1937+static char *hw_rate_ofdm_str(u16 ofdm_idx)
1938+{
1939+ switch (ofdm_idx) {
1940+ case 11: /* 6M */
1941+ return HW_TX_RATE_OFDM_STR[0];
1942+
1943+ case 15: /* 9M */
1944+ return HW_TX_RATE_OFDM_STR[1];
1945+
1946+ case 10: /* 12M */
1947+ return HW_TX_RATE_OFDM_STR[2];
1948+
1949+ case 14: /* 18M */
1950+ return HW_TX_RATE_OFDM_STR[3];
1951+
1952+ case 9: /* 24M */
1953+ return HW_TX_RATE_OFDM_STR[4];
1954+
1955+ case 13: /* 36M */
1956+ return HW_TX_RATE_OFDM_STR[5];
1957+
1958+ case 8: /* 48M */
1959+ return HW_TX_RATE_OFDM_STR[6];
1960+
1961+ case 12: /* 54M */
1962+ return HW_TX_RATE_OFDM_STR[7];
1963+
1964+ default:
1965+ return HW_TX_RATE_OFDM_STR[8];
1966+ }
1967+}
1968+
1969+static char *hw_rate_str(u8 mode, u16 rate_idx)
1970+{
1971+ if (mode == 0)
1972+ return rate_idx < 8 ? HW_TX_RATE_CCK_STR[rate_idx] : HW_TX_RATE_CCK_STR[8];
1973+ else if (mode == 1)
1974+ return hw_rate_ofdm_str(rate_idx);
1975+ else
1976+ return "MCS";
1977+}
1978+
1979+static void parse_rate(struct seq_file *s, u16 rate_idx, u16 txrate)
1980+{
1981+ u16 txmode, mcs, nss, stbc;
1982+
1983+ txmode = FIELD_GET(GENMASK(9, 6), txrate);
1984+ mcs = FIELD_GET(GENMASK(5, 0), txrate);
1985+ nss = FIELD_GET(GENMASK(12, 10), txrate);
1986+ stbc = FIELD_GET(BIT(13), txrate);
1987+
1988+ seq_printf(s, "\tRate%d(0x%x):TxMode=%d(%s), TxRate=%d(%s), Nsts=%d, STBC=%d\n",
1989+ rate_idx + 1, txrate,
1990+ txmode, (txmode < MAX_TX_MODE ? HW_TX_MODE_STR[txmode] : HW_TX_MODE_STR[MAX_TX_MODE]),
1991+ mcs, hw_rate_str(txmode, mcs), nss, stbc);
1992+}
1993+
1994+#define LWTBL_LEN_IN_DW 32
1995+#define UWTBL_LEN_IN_DW 8
1996+#define ONE_KEY_ENTRY_LEN_IN_DW 8
developer68e1eb22022-05-09 17:02:12 +08001997+static int mt7915_sta_info(struct seq_file *s, void *data)
1998+{
1999+ struct mt7915_dev *dev = dev_get_drvdata(s->private);
2000+ u8 lwtbl[LWTBL_LEN_IN_DW*4] = {0};
2001+ u16 i = 0;
2002+
2003+ for (i=0; i < mt7915_wtbl_size(dev); i++) {
2004+ mt7915_wtbl_read_raw(dev, i, WTBL_TYPE_LMAC, 0,
2005+ LWTBL_LEN_IN_DW, lwtbl);
2006+ if (lwtbl[4] || lwtbl[5] || lwtbl[6] || lwtbl[7] || lwtbl[0] || lwtbl[1])
2007+ seq_printf(s, "wcid:%d\tAddr: %02x:%02x:%02x:%02x:%02x:%02x\n",
2008+ i, lwtbl[4], lwtbl[5], lwtbl[6], lwtbl[7], lwtbl[0], lwtbl[1]);
2009+ }
2010+
2011+ return 0;
2012+}
2013+
developere2cc0fa2022-03-29 17:31:03 +08002014+static int mt7915_wtbl_read(struct seq_file *s, void *data)
2015+{
2016+ struct mt7915_dev *dev = dev_get_drvdata(s->private);
2017+ u8 lwtbl[LWTBL_LEN_IN_DW*4] = {0};
2018+ int x;
2019+ u32 *addr = 0;
2020+ u32 dw_value = 0;
2021+
2022+ mt7915_wtbl_read_raw(dev, dev->wlan_idx, WTBL_TYPE_LMAC, 0,
2023+ LWTBL_LEN_IN_DW, lwtbl);
2024+ seq_printf(s, "Dump WTBL info of WLAN_IDX:%d\n", dev->wlan_idx);
2025+ seq_printf(s, "LMAC WTBL Addr: group:0x%x=0x%x addr: 0x%lx\n",
2026+ MT_DBG_WTBLON_TOP_WDUCR,
2027+ mt76_rr(dev, MT_DBG_WTBLON_TOP_WDUCR),
2028+ LWTBL_IDX2BASE(dev->wlan_idx, 0));
2029+ for (x = 0; x < LWTBL_LEN_IN_DW; x++) {
2030+ seq_printf(s, "DW%02d: %02x %02x %02x %02x\n",
2031+ x,
2032+ lwtbl[x * 4 + 3],
2033+ lwtbl[x * 4 + 2],
2034+ lwtbl[x * 4 + 1],
2035+ lwtbl[x * 4]);
2036+ }
2037+
2038+ seq_printf(s, "\n\tAddr: %02x:%02x:%02x:%02x:%02x:%02x(D0[B0~15], D1[B0~31])\n",
2039+ lwtbl[4], lwtbl[5], lwtbl[6], lwtbl[7], lwtbl[0], lwtbl[1]);
2040+
2041+ // DW0, DW1
2042+ seq_printf(s, "LWTBL DW 0/1\n\t");
2043+ addr = (u32 *)&(lwtbl[0]);
2044+ dw_value = *addr;
2045+ seq_printf(s, "MUAR_IDX:%lu/ ", FIELD_GET(GENMASK(21, 16), dw_value));
2046+ seq_printf(s, "RCA1:%ld/ ", FIELD_GET(BIT(22), dw_value));
2047+ seq_printf(s, "KID:%lu/ ", FIELD_GET(GENMASK(24, 23), dw_value));
2048+ seq_printf(s, "RCID:%ld/ ", FIELD_GET(BIT(25), dw_value));
2049+ seq_printf(s, "FROM_DS:%ld\n\t", FIELD_GET(BIT(26), dw_value));
2050+ seq_printf(s, "TO_DS:%ld/ ", FIELD_GET(BIT(27), dw_value));
2051+ seq_printf(s, "RV:%ld/ ", FIELD_GET(BIT(28), dw_value));
2052+ seq_printf(s, "RCA2:%ld/ ", FIELD_GET(BIT(29), dw_value));
2053+ seq_printf(s, "WPI_FLAG:%ld\n", FIELD_GET(BIT(30), dw_value));
2054+
2055+ // DW2
2056+ seq_printf(s, "LWTBL DW 2\n\t");
2057+ addr = (u32 *)&(lwtbl[2*4]);
2058+ dw_value = *addr;
2059+ seq_printf(s, "AID12:%lu/ ", FIELD_GET(GENMASK(11, 0), dw_value));
2060+ seq_printf(s, "SU:%ld/ ", FIELD_GET(BIT(12), dw_value));
2061+ seq_printf(s, "SPP_EN:%ld/ ", FIELD_GET(BIT(13), dw_value));
2062+ seq_printf(s, "WPI_EVEN:%ld\n\t",FIELD_GET(BIT(14), dw_value));
2063+ seq_printf(s, "CIPHER:%lu/ ", FIELD_GET(GENMASK(20, 16), dw_value));
2064+ seq_printf(s, "CIPHER_IGTK:%lu/ ",FIELD_GET(GENMASK(22, 21), dw_value));
2065+ seq_printf(s, "AAD_OM:%ld\n\t", FIELD_GET(BIT(15), dw_value));
2066+ seq_printf(s, "SW:%ld/ ", FIELD_GET(BIT(24), dw_value));
2067+ seq_printf(s, "UL:%ld/ ", FIELD_GET(BIT(25), dw_value));
2068+ seq_printf(s, "TX_POWER_SAVE:%ld\n\t", FIELD_GET(BIT(26), dw_value));
2069+ seq_printf(s, "QOS:%ld/ ", FIELD_GET(BIT(27), dw_value));
2070+ seq_printf(s, "HT:%ld/ ", FIELD_GET(BIT(28), dw_value));
2071+ seq_printf(s, "VHT:%ld/ ", FIELD_GET(BIT(29), dw_value));
2072+ seq_printf(s, "HE:%ld/ ", FIELD_GET(BIT(30), dw_value));
2073+ seq_printf(s, "MESH:%ld\n", FIELD_GET(BIT(31), dw_value));
2074+
2075+ // DW3
2076+ seq_printf(s, "LWTBL DW 3\n\t");
2077+ addr = (u32 *)&(lwtbl[3*4]);
2078+ dw_value = *addr;
2079+ seq_printf(s, "WMM_Q:%lu/ ", FIELD_GET(GENMASK(1, 0), dw_value));
2080+ seq_printf(s, "RXD_DUP_MODE:%lu\n\t", FIELD_GET(GENMASK(3, 2), dw_value));
2081+ seq_printf(s, "VLAN2ETH:%ld/ ", FIELD_GET(BIT(4), dw_value));
2082+ seq_printf(s, "BEAM_CHG:%ld/ ", FIELD_GET(BIT(5), dw_value));
2083+ seq_printf(s, "DIS_BA256:%ld\n\t", FIELD_GET(BIT(6), dw_value));
2084+ seq_printf(s, "PFMU_IDX:%lu/ ", FIELD_GET(GENMASK(15, 8), dw_value));
2085+ seq_printf(s, "ULPF_IDX:%lu\n\t", FIELD_GET(GENMASK(23, 16), dw_value));
2086+ seq_printf(s, "RIBF:%ld/ ", FIELD_GET(BIT(24), dw_value));
2087+ seq_printf(s, "ULPF:%ld\n\t", FIELD_GET(BIT(25), dw_value));
2088+ seq_printf(s, "IGN_FBK:%ld/ ", FIELD_GET(BIT(26), dw_value));
2089+ seq_printf(s, "TBF:%ld/ ", FIELD_GET(BIT(29), dw_value));
2090+ seq_printf(s, "TBF_VHT:%ld/ ", FIELD_GET(BIT(30), dw_value));
2091+ seq_printf(s, "TBF_HE:%ld\n", FIELD_GET(BIT(31), dw_value));
2092+
2093+ // DW4
2094+ seq_printf(s, "LWTBL DW 4\n\t");
2095+ addr = (u32 *)&(lwtbl[4*4]);
2096+ dw_value = *addr;
2097+ seq_printf(s, "ANT_ID_STS0:%lu/ ", FIELD_GET(GENMASK(2, 0), dw_value));
2098+ seq_printf(s, "STS1:%lu/ ", FIELD_GET(GENMASK(5, 3), dw_value));
2099+ seq_printf(s, "STS2:%lu/ ", FIELD_GET(GENMASK(8, 6), dw_value));
2100+ seq_printf(s, "STS3:%lu\n\t", FIELD_GET(GENMASK(11, 9), dw_value));
2101+ seq_printf(s, "ANT_ID_STS4:%lu/ ", FIELD_GET(GENMASK(14, 12), dw_value));
2102+ seq_printf(s, "STS5:%lu/ ", FIELD_GET(GENMASK(17, 15), dw_value));
2103+ seq_printf(s, "STS6:%ld/ ", FIELD_GET(GENMASK(20, 18), dw_value));
2104+ seq_printf(s, "STS7:%lu\n\t", FIELD_GET(GENMASK(23, 21), dw_value));
2105+ seq_printf(s, "CASCAD:%ld/ ", FIELD_GET(BIT(24), dw_value));
2106+ seq_printf(s, "LDPC_HT:%ld/ ", FIELD_GET(BIT(25), dw_value));
2107+ seq_printf(s, "LDPC_VHT:%ld/ ", FIELD_GET(BIT(26), dw_value));
2108+ seq_printf(s, "LDPC_HE:%ld\n\t", FIELD_GET(BIT(27), dw_value));
2109+ seq_printf(s, "DIS_RHTR:%ld/ ", FIELD_GET(BIT(28), dw_value));
2110+ seq_printf(s, "ALL_ACK:%ld/ ", FIELD_GET(BIT(29), dw_value));
2111+ seq_printf(s, "DROP:%ld/ ", FIELD_GET(BIT(30), dw_value));
2112+ seq_printf(s, "ACK_EN:%ld\n", FIELD_GET(BIT(31), dw_value));
2113+
2114+ // DW5
2115+ seq_printf(s, "LWTBL DW 5\n\t");
2116+ addr = (u32 *)&(lwtbl[5*4]);
2117+ dw_value = *addr;
2118+ seq_printf(s, "AF:%lu/ ", FIELD_GET(GENMASK(2, 0), dw_value));
2119+ seq_printf(s, "AF_HE:%lu/ ", FIELD_GET(GENMASK(4, 3), dw_value));
2120+ seq_printf(s, "RTS:%ld/ ", FIELD_GET(BIT(5), dw_value));
2121+ seq_printf(s, "SMPS:%ld/ ", FIELD_GET(BIT(6), dw_value));
2122+ seq_printf(s, "DYN_BW:%ld\n\t", FIELD_GET(BIT(7), dw_value));
2123+ seq_printf(s, "MMSS:%lu/ ", FIELD_GET(GENMASK(10, 8), dw_value));
2124+ seq_printf(s, "USR:%ld/ ", FIELD_GET(BIT(11), dw_value));
2125+ seq_printf(s, "SR_RATE:%lu/ ", FIELD_GET(GENMASK(14, 12), dw_value));
2126+ seq_printf(s, "SR_ABORT:%ld\n\t", FIELD_GET(BIT(15), dw_value));
2127+ seq_printf(s, "TX_POWER_OFFSET:%lu/ ", FIELD_GET(GENMASK(21, 16), dw_value));
2128+ seq_printf(s, "WTBL_MPDU_SIZE:%lu\n\t", FIELD_GET(GENMASK(23, 22), dw_value));
2129+ seq_printf(s, "PE:%lu/ ", FIELD_GET(GENMASK(25, 24), dw_value));
2130+ seq_printf(s, "DOPPL:%ld/ ", FIELD_GET(BIT(26), dw_value));
2131+ seq_printf(s, "TXOP_PS_CAP:%ld/ ", FIELD_GET(BIT(27), dw_value));
2132+ seq_printf(s, "DONOT_UPDATE_I_PSM:%ld\n\t", FIELD_GET(BIT(28), dw_value));
2133+ seq_printf(s, "I_PSM:%ld/ ", FIELD_GET(BIT(29), dw_value));
2134+ seq_printf(s, "PSM:%ld/ ", FIELD_GET(BIT(30), dw_value));
2135+ seq_printf(s, "SKIP_TX:%ld\n", FIELD_GET(BIT(31), dw_value));
2136+
2137+ // DW6
2138+ seq_printf(s, "LWTBL DW 6\n\t");
2139+ seq_printf(s, "TID 0/1/2/3/4/5/6/7 BA_WIN_SIZE:");
2140+ addr = (u32 *)&(lwtbl[6*4]);
2141+ dw_value = *addr;
2142+ seq_printf(s, "%lu/ ", FIELD_GET(GENMASK(3, 0), dw_value));
2143+ seq_printf(s, "%lu/ ", FIELD_GET(GENMASK(7, 4), dw_value));
2144+ seq_printf(s, "%lu/ ", FIELD_GET(GENMASK(11, 8), dw_value));
2145+ seq_printf(s, "%lu/ ", FIELD_GET(GENMASK(15, 12), dw_value));
2146+ seq_printf(s, "%lu/ ", FIELD_GET(GENMASK(19, 16), dw_value));
2147+ seq_printf(s, "%lu/ ", FIELD_GET(GENMASK(23, 20), dw_value));
2148+ seq_printf(s, "%lu/ ", FIELD_GET(GENMASK(27, 24), dw_value));
2149+ seq_printf(s, "%lu\n", FIELD_GET(GENMASK(31, 28), dw_value));
2150+
2151+ // DW7
2152+ seq_printf(s, "LWTBL DW 7\n\t");
2153+ addr = (u32 *)&(lwtbl[7*4]);
2154+ dw_value = *addr;
2155+ seq_printf(s, "CBRN:%lu/ ", FIELD_GET(GENMASK(2, 0), dw_value));
2156+ seq_printf(s, "DBNSS_EN:%ld/ ", FIELD_GET(BIT(3), dw_value));
2157+ seq_printf(s, "BAF_EN:%ld/ ", FIELD_GET(BIT(4), dw_value));
2158+ seq_printf(s, "RDGBA:%ld\n\t", FIELD_GET(BIT(5), dw_value));
2159+ seq_printf(s, "RDG:%ld/ ", FIELD_GET(BIT(6), dw_value));
2160+ seq_printf(s, "SPE_IDX:%lu/ ", FIELD_GET(GENMASK(11, 7), dw_value));
2161+ seq_printf(s, "G2:%ld/ ", FIELD_GET(BIT(12), dw_value));
2162+ seq_printf(s, "G4:%ld/ ", FIELD_GET(BIT(13), dw_value));
2163+ seq_printf(s, "G8:%ld/ ", FIELD_GET(BIT(14), dw_value));
2164+ seq_printf(s, "G16:%ld\n\t", FIELD_GET(BIT(15), dw_value));
2165+ seq_printf(s, "G2_LTF:%lu/ ", FIELD_GET(GENMASK(17, 16), dw_value));
2166+ seq_printf(s, "G4_LTF:%lu/ ", FIELD_GET(GENMASK(19, 18), dw_value));
2167+ seq_printf(s, "G8_LTF:%lu/ ", FIELD_GET(GENMASK(21, 20), dw_value));
2168+ seq_printf(s, "G16_LTF:%lu\n\t", FIELD_GET(GENMASK(23, 22), dw_value));
2169+ seq_printf(s, "G2_HE:%lu/ ", FIELD_GET(GENMASK(25, 24), dw_value));
2170+ seq_printf(s, "G4_HE:%lu/ ", FIELD_GET(GENMASK(27, 27), dw_value));
2171+ seq_printf(s, "G8_HE:%lu/ ", FIELD_GET(GENMASK(29, 28), dw_value));
2172+ seq_printf(s, "G16_HE:%lu\n", FIELD_GET(GENMASK(31, 30), dw_value));
2173+
2174+ // DW8
2175+ seq_printf(s, "LWTBL DW 8\n\t");
2176+ addr = (u32 *)&(lwtbl[8*4]);
2177+ dw_value = *addr;
2178+ seq_printf(s, "FAIL_CNT_AC0:%lu/ ", FIELD_GET(GENMASK(4, 0), dw_value));
2179+ seq_printf(s, "AC1:%lu/ ", FIELD_GET(GENMASK(9, 5), dw_value));
2180+ seq_printf(s, "AC2:%lu/ ", FIELD_GET(GENMASK(14, 10), dw_value));
2181+ seq_printf(s, "AC3:%lu\n\t", FIELD_GET(GENMASK(19, 15), dw_value));
2182+ seq_printf(s, "PARTIAL_AID:%lu/ ", FIELD_GET(GENMASK(28, 20), dw_value));
2183+ seq_printf(s, "CHK_PER:%lu\n", FIELD_GET(BIT(31), dw_value));
2184+
2185+ // DW9
2186+ seq_printf(s, "LWTBL DW 9\n\t");
2187+ addr = (u32 *)&(lwtbl[9*4]);
2188+ dw_value = *addr;
2189+ seq_printf(s, "RX_AVG_MPDU:%lu/ ", FIELD_GET(GENMASK(13, 0), dw_value));
2190+ seq_printf(s, "PRITX_SW_MODE:%ld/ ", FIELD_GET(BIT(16), dw_value));
2191+ seq_printf(s, "PRITX_PLR:%ld\n\t", FIELD_GET(BIT(17), dw_value));
2192+ seq_printf(s, "PRITX_DCM:%ld/ ", FIELD_GET(BIT(18), dw_value));
2193+ seq_printf(s, "PRITX_ER160:%ld/ ", FIELD_GET(BIT(19), dw_value));
2194+ seq_printf(s, "PRITX_ERSU:%lu\n\t", FIELD_GET(BIT(20), dw_value));
2195+ seq_printf(s, "MPDU_FAIL_CNT:%lu/ ", FIELD_GET(GENMASK(25, 23), dw_value));
2196+ seq_printf(s, "MPDU_OK_CNT:%lu/ ", FIELD_GET(GENMASK(28, 26), dw_value));
2197+ seq_printf(s, "RATE_IDX:%lu\n\t", FIELD_GET(GENMASK(31, 29), dw_value));
2198+ seq_printf(s, "FCAP:%s\n", fcap_str[FIELD_GET(GENMASK(22, 21), dw_value)]);
2199+
2200+ // DW10
2201+ seq_printf(s, "LWTBL DW 10\n");
2202+ addr = (u32 *)&(lwtbl[10*4]);
2203+ dw_value = *addr;
2204+ parse_rate(s, 0, FIELD_GET(GENMASK(13, 0), dw_value));
2205+ parse_rate(s, 1, FIELD_GET(GENMASK(29, 16), dw_value));
2206+ // DW11
2207+ seq_printf(s, "LWTBL DW 11\n");
2208+ addr = (u32 *)&(lwtbl[11*4]);
2209+ dw_value = *addr;
2210+ parse_rate(s, 2, FIELD_GET(GENMASK(13, 0), dw_value));
2211+ parse_rate(s, 3, FIELD_GET(GENMASK(29, 16), dw_value));
2212+ // DW12
2213+ seq_printf(s, "LWTBL DW 12\n");
2214+ addr = (u32 *)&(lwtbl[12*4]);
2215+ dw_value = *addr;
2216+ parse_rate(s, 4, FIELD_GET(GENMASK(13, 0), dw_value));
2217+ parse_rate(s, 5, FIELD_GET(GENMASK(29, 16), dw_value));
2218+ // DW13
2219+ seq_printf(s, "LWTBL DW 13\n");
2220+ addr = (u32 *)&(lwtbl[13*4]);
2221+ dw_value = *addr;
2222+ parse_rate(s, 6, FIELD_GET(GENMASK(13, 0), dw_value));
2223+ parse_rate(s, 7, FIELD_GET(GENMASK(29, 16), dw_value));
2224+
2225+ //DW28
2226+ seq_printf(s, "LWTBL DW 28\n\t");
2227+ addr = (u32 *)&(lwtbl[28*4]);
2228+ dw_value = *addr;
2229+ seq_printf(s, "OM_INFO:%lu/ ", FIELD_GET(GENMASK(11, 0), dw_value));
2230+ seq_printf(s, "OM_RXD_DUP_MODE:%u\n\t", !!(dw_value & BIT(12)) );
2231+
2232+ //DW29
2233+ seq_printf(s, "LWTBL DW 29\n");
2234+ addr = (u32 *)&(lwtbl[29*4]);
2235+ dw_value = *addr;
2236+ seq_printf(s, "USER_RSSI:%lu/ ", FIELD_GET(GENMASK(8, 0), dw_value));
2237+ seq_printf(s, "USER_SNR:%lu/ ", FIELD_GET(GENMASK(14, 9), dw_value));
2238+ seq_printf(s, "RAPID_REACTION_RATE:%lu/ ", FIELD_GET(GENMASK(26, 16), dw_value));
2239+ seq_printf(s, "HT_AMSDU(Read Only):%u/ ", !!(dw_value & BIT(30)) );
2240+ seq_printf(s, "AMSDU_CROSS_LG(Read Only):%u\n\t ", !!(dw_value & BIT(31)));
2241+
2242+ //DW30
2243+ seq_printf(s, "LWTBL DW 30\n\t");
2244+ addr = (u32 *)&(lwtbl[30*4]);
2245+ dw_value = *addr;
2246+ seq_printf(s, "RCPI 0:%lu/ ", FIELD_GET(GENMASK(7, 0), dw_value));
2247+ seq_printf(s, "RCPI 1:%lu/ ", FIELD_GET(GENMASK(15, 8), dw_value));
2248+ seq_printf(s, "RCPI 2:%lu/ ", FIELD_GET(GENMASK(23, 16), dw_value));
2249+ seq_printf(s, "RCPI 3:%lu\n\t", FIELD_GET(GENMASK(31, 24), dw_value));
2250+
2251+ //DW31
2252+ seq_printf(s, "LWTBL DW 31\n\t");
2253+ addr = (u32 *)&(lwtbl[31*4]);
2254+ dw_value = *addr;
2255+ seq_printf(s, "RCPI 4:%lu/ ", FIELD_GET(GENMASK(7, 0), dw_value));
2256+ seq_printf(s, "RCPI 5:%lu/ ", FIELD_GET(GENMASK(15, 8), dw_value));
2257+ seq_printf(s, "RCPI 6:%lu/ ", FIELD_GET(GENMASK(23, 16), dw_value));
2258+ seq_printf(s, "RCPI 7:%lu\n\t", FIELD_GET(GENMASK(31, 24), dw_value));
2259+
2260+ return 0;
2261+}
2262+
2263+static int mt7915_uwtbl_read(struct seq_file *s, void *data)
2264+{
2265+ struct mt7915_dev *dev = dev_get_drvdata(s->private);
2266+ u8 uwtbl[UWTBL_LEN_IN_DW * 4] = {0};
2267+ u8 keytbl[ONE_KEY_ENTRY_LEN_IN_DW*4] = {0};
2268+ int x;
2269+ u32 *addr = 0;
2270+ u32 dw_value = 0;
2271+ u32 amsdu_len = 0;
2272+ u32 u2SN = 0;
2273+ u16 keyloc0, keyloc1;
2274+
2275+ mt7915_wtbl_read_raw(dev, dev->wlan_idx, WTBL_TYPE_UMAC, 0,
2276+ UWTBL_LEN_IN_DW, uwtbl);
2277+ seq_printf(s, "Dump WTBL info of WLAN_IDX:%d\n", dev->wlan_idx);
2278+ seq_printf(s, "UMAC WTBL Addr: group:0x%x=0x%x addr: 0x%lx\n",
2279+ MT_DBG_WTBLON_TOP_WDUCR,
2280+ mt76_rr(dev, MT_DBG_WTBLON_TOP_WDUCR),
2281+ UWTBL_IDX2BASE(dev->wlan_idx, 0));
2282+ for (x = 0; x < UWTBL_LEN_IN_DW; x++) {
2283+ seq_printf(s, "DW%02d: %02x %02x %02x %02x\n",
2284+ x,
2285+ uwtbl[x * 4 + 3],
2286+ uwtbl[x * 4 + 2],
2287+ uwtbl[x * 4 + 1],
2288+ uwtbl[x * 4]);
2289+ }
2290+
2291+ /* UMAC WTBL DW 0 */
2292+ seq_printf(s, "\nUWTBL PN\n\t");
2293+ addr = (u32 *)&(uwtbl[0]);
2294+ dw_value = *addr;
2295+ seq_printf(s, "PN0:%lu/ ", FIELD_GET(GENMASK(7, 0), dw_value));
2296+ seq_printf(s, "PN1:%lu/ ", FIELD_GET(GENMASK(15, 8), dw_value));
2297+ seq_printf(s, "PN2:%lu\n\t", FIELD_GET(GENMASK(23, 16), dw_value));
2298+ seq_printf(s, "PN3:%lu/ ", FIELD_GET(GENMASK(31, 24), dw_value));
2299+
2300+ addr = (u32 *)&(uwtbl[1 * 4]);
2301+ dw_value = *addr;
2302+ seq_printf(s, "PN4:%lu/ ", FIELD_GET(GENMASK(7, 0), dw_value));
2303+ seq_printf(s, "PN5:%lu\n", FIELD_GET(GENMASK(15, 8), dw_value));
2304+
2305+ /* UMAC WTBL DW SN part */
2306+ seq_printf(s, "\nUWTBL SN\n");
2307+ addr = (u32 *)&(uwtbl[2 * 4]);
2308+ dw_value = *addr;
2309+ seq_printf(s, "TID0_AC0_SN:%lu\n", FIELD_GET(GENMASK(11, 0), dw_value));
2310+ seq_printf(s, "TID1_AC1_SN:%lu\n", FIELD_GET(GENMASK(23, 12), dw_value));
2311+
2312+ u2SN = FIELD_GET(GENMASK(31, 24), dw_value);
2313+ addr = (u32 *)&(uwtbl[3 * 4]);
2314+ dw_value = *addr;
2315+ u2SN |= FIELD_GET(GENMASK(3, 0), dw_value);
2316+ seq_printf(s, "TID2_AC2_SN:%u\n", u2SN);
2317+ seq_printf(s, "TID3_AC3_SN:%lu\n", FIELD_GET(GENMASK(15, 4), dw_value));
2318+ seq_printf(s, "TID4_SN:%lu\n", FIELD_GET(GENMASK(27, 16), dw_value));
2319+
2320+ u2SN = FIELD_GET(GENMASK(31, 28), dw_value);
2321+ addr = (u32 *)&(uwtbl[4 * 4]);
2322+ dw_value = *addr;
2323+ u2SN |= FIELD_GET(GENMASK(7, 0), dw_value);
2324+ seq_printf(s, "TID5_SN:%u\n", u2SN);
2325+ seq_printf(s, "TID6_SN:%lu\n", FIELD_GET(GENMASK(19, 8), dw_value));
2326+ seq_printf(s, "TID7_SN:%lu\n", FIELD_GET(GENMASK(31, 20), dw_value));
2327+
2328+ addr = (u32 *)&(uwtbl[1 * 4]);
2329+ dw_value = *addr;
2330+ seq_printf(s, "COM_SN:%lu\n", FIELD_GET(GENMASK(27, 16), dw_value));
2331+
2332+ /* UMAC WTBL DW 0 */
2333+ seq_printf(s, "\nUWTBL others\n");
2334+
2335+ addr = (u32 *)&(uwtbl[5 * 4]);
2336+ dw_value = *addr;
2337+ keyloc0 = FIELD_GET(GENMASK(10, 0), dw_value);
2338+ keyloc1 = FIELD_GET(GENMASK(26, 16), dw_value);
2339+ seq_printf(s, "\tKey Loc 1/2:%lu/%lu\n",
2340+ FIELD_GET(GENMASK(10, 0), dw_value),
2341+ FIELD_GET(GENMASK(26, 16), dw_value));
2342+ seq_printf(s, "\tUWTBL_QOS:%lu\n", FIELD_GET(BIT(27), dw_value));
2343+ seq_printf(s, "\tUWTBL_HT_VHT_HE:%lu\n", FIELD_GET(BIT(28), dw_value));
2344+
2345+ addr = (u32 *)&(uwtbl[6*4]);
2346+ dw_value = *addr;
2347+ seq_printf(s, "\tHW AMSDU Enable:%lu\n", FIELD_GET(BIT(9), dw_value));
2348+
2349+ amsdu_len = FIELD_GET(GENMASK(5, 0), dw_value);
2350+ if (amsdu_len == 0)
2351+ seq_printf(s, "\tHW AMSDU Len:invalid (WTBL value=0x%x)\n", amsdu_len);
2352+ else if (amsdu_len == 1)
2353+ seq_printf(s, "\tHW AMSDU Len:%d~%d (WTBL value=0x%x)\n",
2354+ 1,
2355+ 255,
2356+ amsdu_len);
2357+ else
2358+ seq_printf(s, "\tHW AMSDU Len:%d~%d (WTBL value=0x%x)\n",
2359+ 256 * (amsdu_len - 1),
2360+ 256 * (amsdu_len - 1) + 255,
2361+ amsdu_len
2362+ );
2363+ seq_printf(s, "\tHW AMSDU Num:%lu (WTBL value=0x%lx)\n",
2364+ FIELD_GET(GENMASK(8, 6), dw_value) + 1,
2365+ FIELD_GET(GENMASK(8, 6), dw_value));
2366+
2367+ /* Parse KEY link */
2368+ seq_printf(s, "\n\tkeyloc0:%d\n", keyloc0);
2369+ if(keyloc0 != GENMASK(10, 0)) {
2370+ mt7915_wtbl_read_raw(dev, keyloc0, WTBL_TYPE_KEY,
2371+ 0, ONE_KEY_ENTRY_LEN_IN_DW, keytbl);
2372+ seq_printf(s, "KEY WTBL Addr: group:0x%x=0x%x addr: 0x%lx\n",
2373+ MT_DBG_WTBLON_TOP_WDUCR,
2374+ mt76_rr(dev, MT_DBG_WTBLON_TOP_WDUCR),
2375+ KEYTBL_IDX2BASE(keyloc0, 0));
2376+
2377+ for (x = 0; x < ONE_KEY_ENTRY_LEN_IN_DW; x++) {
2378+ seq_printf(s, "DW%02d: %02x %02x %02x %02x\n",
2379+ x,
2380+ keytbl[x * 4 + 3],
2381+ keytbl[x * 4 + 2],
2382+ keytbl[x * 4 + 1],
2383+ keytbl[x * 4]);
2384+ }
2385+ }
2386+
2387+ seq_printf(s, "\n\tkeyloc1:%d\n", keyloc1);
2388+ if(keyloc1 != GENMASK(26, 16)) {
2389+ mt7915_wtbl_read_raw(dev, keyloc1, WTBL_TYPE_KEY,
2390+ 0, ONE_KEY_ENTRY_LEN_IN_DW, keytbl);
2391+ seq_printf(s, "KEY WTBL Addr: group:0x%x=0x%x addr: 0x%lx\n",
2392+ MT_DBG_WTBLON_TOP_WDUCR,
2393+ mt76_rr(dev, MT_DBG_WTBLON_TOP_WDUCR),
2394+ KEYTBL_IDX2BASE(keyloc1, 0));
2395+
2396+ for (x = 0; x < ONE_KEY_ENTRY_LEN_IN_DW; x++) {
2397+ seq_printf(s, "DW%02d: %02x %02x %02x %02x\n",
2398+ x,
2399+ keytbl[x * 4 + 3],
2400+ keytbl[x * 4 + 2],
2401+ keytbl[x * 4 + 1],
2402+ keytbl[x * 4]);
2403+ }
2404+ }
2405+ return 0;
2406+}
2407+
2408+static void
2409+dump_dma_tx_ring_info(struct seq_file *s, struct mt7915_dev *dev, char *str, u32 ring_base)
2410+{
2411+ u32 base, cnt, cidx, didx, queue_cnt;
2412+
2413+ base= mt76_rr(dev, ring_base);
2414+ cnt = mt76_rr(dev, ring_base + 4);
2415+ cidx = mt76_rr(dev, ring_base + 8);
2416+ didx = mt76_rr(dev, ring_base + 12);
2417+ queue_cnt = (cidx >= didx) ? (cidx - didx) : (cidx - didx + cnt);
2418+
2419+ seq_printf(s, "%20s %10x %10x %10x %10x %10x\n", str, base, cnt, cidx, didx, queue_cnt);
2420+}
2421+
2422+static void
2423+dump_dma_rx_ring_info(struct seq_file *s, struct mt7915_dev *dev, char *str, u32 ring_base)
2424+{
2425+ u32 base, cnt, cidx, didx, queue_cnt;
2426+
2427+ base= mt76_rr(dev, ring_base);
2428+ cnt = mt76_rr(dev, ring_base + 4);
2429+ cidx = mt76_rr(dev, ring_base + 8);
2430+ didx = mt76_rr(dev, ring_base + 12);
2431+ queue_cnt = (didx > cidx) ? (didx - cidx - 1) : (didx - cidx + cnt - 1);
2432+
2433+ seq_printf(s, "%20s %10x %10x %10x %10x %10x\n", str, base, cnt, cidx, didx, queue_cnt);
2434+}
2435+
2436+static void
2437+mt7915_show_host_dma_info(struct seq_file *s, struct mt7915_dev *dev)
2438+{
2439+ u32 sys_ctrl[10] = {};
2440+
2441+ /* HOST DMA */
2442+ sys_ctrl[0] = mt76_rr(dev, MT_DBG_INT_SOURCE_CSR);
2443+ sys_ctrl[1] = mt76_rr(dev, MT_DBG_INT_MASK_CSR);
2444+ sys_ctrl[2] = mt76_rr(dev, MT_DBG_WFDMA0_INT_SOURCE_CSR);
2445+ sys_ctrl[3] = mt76_rr(dev, MT_DBG_WFDMA0_INT_MASK_CSR);
2446+ sys_ctrl[4] = mt76_rr(dev, MT_DBG_WFDMA1_INT_SOURCE_CSR);
2447+ sys_ctrl[5] = mt76_rr(dev, MT_DBG_WFDMA1_INT_MASK_CSR);
2448+ sys_ctrl[6] = mt76_rr(dev, MT_DBG_WFDMA0_GLO_CFG);
2449+ sys_ctrl[7] = mt76_rr(dev, MT_DBG_WFDMA1_GLO_CFG);
2450+ seq_printf(s, "HOST_DMA Configuration\n");
2451+ seq_printf(s, "%10s %10s %10s %10s %10s %10s\n",
2452+ "DMA", "IntCSR", "IntMask", "Glocfg", "Tx/RxEn", "Tx/RxBusy");
2453+ seq_printf(s, "%10s %10x %10x\n",
2454+ "Merge", sys_ctrl[0], sys_ctrl[1]);
2455+ seq_printf(s, "%10s %10x %10x %10x %4lx/%5lx %4lx/%5lx\n",
2456+ "DMA0", sys_ctrl[2], sys_ctrl[3], sys_ctrl[6],
2457+ FIELD_GET(MT_DBG_WFDMA0_GLO_CFG_TX_DMA_EN, sys_ctrl[6]),
2458+ FIELD_GET(MT_DBG_WFDMA0_GLO_CFG_RX_DMA_EN, sys_ctrl[6]),
2459+ FIELD_GET(MT_DBG_WFDMA0_GLO_CFG_TX_BUSY_MASK, sys_ctrl[6]),
2460+ FIELD_GET(MT_DBG_WFDMA0_GLO_CFG_RX_BUSY_MASK, sys_ctrl[6]));
2461+
2462+ seq_printf(s, "%10s %10x %10x %10x %4lx/%5lx %4lx/%5lx\n",
2463+ "DMA1", sys_ctrl[4], sys_ctrl[5], sys_ctrl[7],
2464+ FIELD_GET(MT_DBG_WFDMA1_GLO_CFG_TX_DMA_EN, sys_ctrl[7]),
2465+ FIELD_GET(MT_DBG_WFDMA1_GLO_CFG_RX_DMA_EN, sys_ctrl[7]),
2466+ FIELD_GET(MT_DBG_WFDMA1_GLO_CFG_TX_BUSY_MASK, sys_ctrl[7]),
2467+ FIELD_GET(MT_DBG_WFDMA1_GLO_CFG_RX_BUSY_MASK, sys_ctrl[7]));
2468+
2469+ sys_ctrl[0] = mt76_rr(dev, MT_DBG_INT1_SOURCE_CSR);
2470+ sys_ctrl[1] = mt76_rr(dev, MT_DBG_INT1_MASK_CSR);
2471+ sys_ctrl[2] = mt76_rr(dev, MT_DBG_WFDMA0_PCIE1_INT_SOURCE_CSR);
2472+ sys_ctrl[3] = mt76_rr(dev, MT_DBG_WFDMA0_PCIE1_INT_MASK_CSR);
2473+ sys_ctrl[4] = mt76_rr(dev, MT_DBG_WFDMA1_PCIE1_INT_SOURCE_CSR);
2474+ sys_ctrl[5] = mt76_rr(dev, MT_DBG_WFDMA1_PCIE1_INT_MASK_CSR);
2475+ sys_ctrl[6] = mt76_rr(dev, MT_DBG_WFDMA0_PCIE1_GLO_CFG);
2476+ sys_ctrl[7] = mt76_rr(dev, MT_DBG_WFDMA1_PCIE1_GLO_CFG);
2477+ seq_printf(s, "%10s %10x %10x\n",
2478+ "MergeP1", sys_ctrl[0], sys_ctrl[1]);
2479+ seq_printf(s, "%10s %10x %10x %10x %4lx/%5lx %4lx/%5lx\n",
2480+ "DMA0P1", sys_ctrl[2], sys_ctrl[3], sys_ctrl[6],
2481+ FIELD_GET(MT_DBG_WFDMA0_PCIE1_GLO_CFG_TX_DMA_EN, sys_ctrl[6]),
2482+ FIELD_GET(MT_DBG_WFDMA0_PCIE1_GLO_CFG_RX_DMA_EN, sys_ctrl[6]),
2483+ FIELD_GET(MT_DBG_WFDMA0_PCIE1_GLO_CFG_TX_BUSY_MASK, sys_ctrl[6]),
2484+ FIELD_GET(MT_DBG_WFDMA0_PCIE1_GLO_CFG_RX_BUSY_MASK, sys_ctrl[6]));
2485+ seq_printf(s, "%10s %10x %10x %10x %4lx/%5lx %4lx/%5lx\n",
2486+ "DMA1P1", sys_ctrl[4], sys_ctrl[5], sys_ctrl[7],
2487+ FIELD_GET(MT_DBG_WFDMA0_PCIE1_GLO_CFG_TX_DMA_EN, sys_ctrl[7]),
2488+ FIELD_GET(MT_DBG_WFDMA0_PCIE1_GLO_CFG_RX_DMA_EN, sys_ctrl[7]),
2489+ FIELD_GET(MT_DBG_WFDMA0_PCIE1_GLO_CFG_TX_BUSY_MASK, sys_ctrl[7]),
2490+ FIELD_GET(MT_DBG_WFDMA0_PCIE1_GLO_CFG_RX_BUSY_MASK, sys_ctrl[7]));
2491+
2492+ seq_printf(s, "HOST_DMA0 Ring Configuration\n");
2493+ seq_printf(s, "%20s %10s %10s %10s %10s %10s\n",
2494+ "Name", "Base", "Cnt", "CIDX", "DIDX", "QCnt");
2495+ dump_dma_rx_ring_info(s, dev, "R0:Data0(MAC2H)", MT_DBG_RX_DATA_RING_CTRL(0));
2496+ dump_dma_rx_ring_info(s, dev, "R1:Data1(MAC2H)", MT_DBG_RX_DATA_RING_CTRL(1));
2497+
2498+ seq_printf(s, "HOST_DMA0 PCIe 1 Ring Configuration\n");
2499+ seq_printf(s, "%20s %10s %10s %10s %10s %10s\n",
2500+ "Name", "Base", "Cnt", "CIDX", "DIDX", "QCnt");
2501+ dump_dma_rx_ring_info(s, dev, "R1:Data1(MAC2H)", MT_DBG_WFDMA0_PCIE1_RX1_CTRL0);
2502+
2503+ seq_printf(s, "HOST_DMA1 Ring Configuration\n");
2504+ seq_printf(s, "%20s %10s %10s %10s %10s %10s\n",
2505+ "Name", "Base", "Cnt", "CIDX", "DIDX", "QCnt");
2506+ dump_dma_tx_ring_info(s, dev, "T16:FWDL", MT_DBG_TX_RING_CTRL(0));
2507+ dump_dma_tx_ring_info(s, dev, "T17:Cmd(H2WM)", MT_DBG_TX_RING_CTRL(1));
2508+ dump_dma_tx_ring_info(s, dev, "T18:TXD0(H2WA)", MT_DBG_TX_RING_CTRL(2));
2509+ dump_dma_tx_ring_info(s, dev, "T19:TXD1(H2WA)", MT_DBG_TX_RING_CTRL(3));
2510+ dump_dma_tx_ring_info(s, dev, "T20:Cmd(H2WA)", MT_DBG_TX_RING_CTRL(4));
2511+ dump_dma_rx_ring_info(s, dev, "R0:Event(WM2H)", MT_DBG_RX_EVENT_RING_CTRL(0));
2512+ dump_dma_rx_ring_info(s, dev, "R1:Event0(WA2H)", MT_DBG_RX_EVENT_RING_CTRL(1));
2513+ dump_dma_rx_ring_info(s, dev, "R2:Event1(WA2H)", MT_DBG_RX_EVENT_RING_CTRL(2));
2514+
2515+ seq_printf(s, "HOST_DMA1 PCIe 1 Ring Configuration\n");
2516+ seq_printf(s, "%20s %10s %10s %10s %10s %10s\n",
2517+ "Name", "Base", "Cnt", "CIDX", "DIDX", "QCnt");
2518+ dump_dma_tx_ring_info(s, dev, "T19:TXD1(H2WA)", MT_DBG_WFDMA1_PCIE1_TX19_CTRL0);
2519+ dump_dma_rx_ring_info(s, dev, "R2:Event1(WA2H)", MT_DBG_WFDMA1_PCIE1_RX2_CTRL0);
2520+}
2521+
2522+static void
2523+mt7915_show_mcu_dma_info(struct seq_file *s, struct mt7915_dev *dev)
2524+{
2525+ u32 sys_ctrl[9] = {};
2526+
2527+ /* MCU DMA information */
2528+ sys_ctrl[0] = mt76_rr(dev, WF_WFDMA_MCU_DMA0_WPDMA_GLO_CFG_ADDR);
2529+ sys_ctrl[1] = mt76_rr(dev, WF_WFDMA_MCU_DMA0_HOST_INT_STA_ADDR);
2530+ sys_ctrl[2] = mt76_rr(dev, WF_WFDMA_MCU_DMA0_HOST_INT_ENA_ADDR);
2531+
2532+ sys_ctrl[3] = mt76_rr(dev, WF_WFDMA_MCU_DMA1_WPDMA_GLO_CFG_ADDR);
2533+ sys_ctrl[4] = mt76_rr(dev, WF_WFDMA_MCU_DMA1_HOST_INT_STA_ADDR);
2534+ sys_ctrl[5] = mt76_rr(dev, WF_WFDMA_MCU_DMA1_HOST_INT_ENA_ADDR);
2535+ sys_ctrl[6] = mt76_rr(dev, WF_WFDMA_MCU_DMA1_PCIE1_WPDMA_GLO_CFG_ADDR);
2536+ sys_ctrl[7] = mt76_rr(dev, WF_WFDMA_MCU_DMA1_PCIE1_HOST_INT_STA_ADDR);
2537+ sys_ctrl[8] = mt76_rr(dev, WF_WFDMA_MCU_DMA1_PCIE1_HOST_INT_ENA_ADDR);
2538+
2539+ seq_printf(s, "MCU_DMA Configuration\n");
2540+ seq_printf(s, "%10s %10s %10s %10s %10s %10s\n",
2541+ "DMA", "IntCSR", "IntMask", "Glocfg", "Tx/RxEn", "Tx/RxBusy");
2542+ seq_printf(s, "%10s %10x %10x %10x %4x/%5x %4x/%5x\n",
2543+ "DMA0", sys_ctrl[1], sys_ctrl[2], sys_ctrl[0],
2544+ (sys_ctrl[0] & WF_WFDMA_MCU_DMA0_WPDMA_GLO_CFG_TX_DMA_EN_MASK) >> WF_WFDMA_MCU_DMA0_WPDMA_GLO_CFG_TX_DMA_EN_SHFT,
2545+ (sys_ctrl[0] & WF_WFDMA_MCU_DMA0_WPDMA_GLO_CFG_RX_DMA_EN_MASK) >> WF_WFDMA_MCU_DMA0_WPDMA_GLO_CFG_RX_DMA_EN_SHFT,
2546+ (sys_ctrl[0] & WF_WFDMA_MCU_DMA0_WPDMA_GLO_CFG_TX_DMA_BUSY_MASK) >> WF_WFDMA_MCU_DMA0_WPDMA_GLO_CFG_TX_DMA_BUSY_SHFT,
2547+ (sys_ctrl[0] & WF_WFDMA_MCU_DMA0_WPDMA_GLO_CFG_RX_DMA_BUSY_MASK) >> WF_WFDMA_MCU_DMA0_WPDMA_GLO_CFG_RX_DMA_BUSY_SHFT);
2548+
2549+ seq_printf(s, "%10s %10x %10x %10x %4x/%5x %4x/%5x\n",
2550+ "DMA1", sys_ctrl[4], sys_ctrl[5], sys_ctrl[3],
2551+ (sys_ctrl[3] & WF_WFDMA_MCU_DMA1_WPDMA_GLO_CFG_TX_DMA_EN_MASK) >> WF_WFDMA_MCU_DMA1_WPDMA_GLO_CFG_TX_DMA_EN_SHFT,
2552+ (sys_ctrl[3] & WF_WFDMA_MCU_DMA1_WPDMA_GLO_CFG_RX_DMA_EN_MASK) >> WF_WFDMA_MCU_DMA1_WPDMA_GLO_CFG_RX_DMA_EN_SHFT,
2553+ (sys_ctrl[3] & WF_WFDMA_MCU_DMA1_WPDMA_GLO_CFG_TX_DMA_BUSY_MASK) >> WF_WFDMA_MCU_DMA1_WPDMA_GLO_CFG_TX_DMA_BUSY_SHFT,
2554+ (sys_ctrl[3] & WF_WFDMA_MCU_DMA1_WPDMA_GLO_CFG_RX_DMA_BUSY_MASK) >> WF_WFDMA_MCU_DMA1_WPDMA_GLO_CFG_RX_DMA_BUSY_SHFT);
2555+ seq_printf(s, "%10s %10x %10x %10x %4x/%5x %4x/%5x\n",
2556+ "DMA1P1", sys_ctrl[7], sys_ctrl[8], sys_ctrl[6],
2557+ (sys_ctrl[6] & WF_WFDMA_MCU_DMA1_PCIE1_WPDMA_GLO_CFG_TX_DMA_EN_MASK) >> WF_WFDMA_MCU_DMA1_PCIE1_WPDMA_GLO_CFG_TX_DMA_EN_SHFT,
2558+ (sys_ctrl[6] & WF_WFDMA_MCU_DMA1_PCIE1_WPDMA_GLO_CFG_RX_DMA_EN_MASK) >> WF_WFDMA_MCU_DMA1_PCIE1_WPDMA_GLO_CFG_RX_DMA_EN_SHFT,
2559+ (sys_ctrl[6] & WF_WFDMA_MCU_DMA1_PCIE1_WPDMA_GLO_CFG_TX_DMA_BUSY_MASK) >> WF_WFDMA_MCU_DMA1_PCIE1_WPDMA_GLO_CFG_TX_DMA_BUSY_SHFT,
2560+ (sys_ctrl[6] & WF_WFDMA_MCU_DMA1_PCIE1_WPDMA_GLO_CFG_RX_DMA_BUSY_MASK) >> WF_WFDMA_MCU_DMA1_PCIE1_WPDMA_GLO_CFG_RX_DMA_BUSY_SHFT);
2561+
2562+ seq_printf(s, "MCU_DMA0 Ring Configuration\n");
2563+ seq_printf(s, "%20s %10s %10s %10s %10s %10s\n",
2564+ "Name", "Base", "Cnt", "CIDX", "DIDX", "QCnt");
2565+ dump_dma_tx_ring_info(s, dev, "T0:TXD(WM2MAC)", WF_WFDMA_MCU_DMA0_WPDMA_TX_RING0_CTRL0_ADDR);
2566+ dump_dma_tx_ring_info(s, dev, "T1:TXCMD(WM2MAC)", WF_WFDMA_MCU_DMA0_WPDMA_TX_RING1_CTRL0_ADDR);
2567+ dump_dma_tx_ring_info(s, dev, "T2:TXD(WA2MAC)", WF_WFDMA_MCU_DMA0_WPDMA_TX_RING2_CTRL0_ADDR);
2568+ dump_dma_rx_ring_info(s, dev, "R0:Data(MAC2WM)", WF_WFDMA_MCU_DMA0_WPDMA_RX_RING0_CTRL0_ADDR);
2569+ dump_dma_rx_ring_info(s, dev, "R1:TxDone(MAC2WM)", WF_WFDMA_MCU_DMA0_WPDMA_RX_RING1_CTRL0_ADDR);
2570+ dump_dma_rx_ring_info(s, dev, "R2:SPL(MAC2WM)", WF_WFDMA_MCU_DMA0_WPDMA_RX_RING2_CTRL0_ADDR);
2571+ dump_dma_rx_ring_info(s, dev, "R3:TxDone(MAC2WA)", WF_WFDMA_MCU_DMA0_WPDMA_RX_RING3_CTRL0_ADDR);
2572+ dump_dma_rx_ring_info(s, dev, "R4:TXS(MAC2WA)", WF_WFDMA_MCU_DMA0_WPDMA_RX_RING4_CTRL0_ADDR);
2573+
2574+ seq_printf(s, "MCU_DMA1 Ring Configuration\n");
2575+ seq_printf(s, "%20s %10s %10s %10s %10s %10s\n",
2576+ "Name", "Base", "Cnt", "CIDX", "DIDX", "QCnt");
2577+ dump_dma_tx_ring_info(s, dev, "T0:Event(WM2H)", WF_WFDMA_MCU_DMA1_WPDMA_TX_RING0_CTRL0_ADDR);
2578+ dump_dma_tx_ring_info(s, dev, "T1:Event0(WA2H)", WF_WFDMA_MCU_DMA1_WPDMA_TX_RING1_CTRL0_ADDR);
2579+ dump_dma_tx_ring_info(s, dev, "T2:Event1(WA2H)", WF_WFDMA_MCU_DMA1_WPDMA_TX_RING2_CTRL0_ADDR);
2580+ dump_dma_rx_ring_info(s, dev, "R0:FWDL", WF_WFDMA_MCU_DMA1_WPDMA_RX_RING0_CTRL0_ADDR);
2581+ dump_dma_rx_ring_info(s, dev, "R1:Cmd(H2WM)", WF_WFDMA_MCU_DMA1_WPDMA_RX_RING1_CTRL0_ADDR);
2582+ dump_dma_rx_ring_info(s, dev, "R2:TXD0(H2WA)", WF_WFDMA_MCU_DMA1_WPDMA_RX_RING2_CTRL0_ADDR);
2583+ dump_dma_rx_ring_info(s, dev, "R3:TXD1(H2WA)", WF_WFDMA_MCU_DMA1_WPDMA_RX_RING3_CTRL0_ADDR);
2584+ dump_dma_rx_ring_info(s, dev, "R4:Cmd(H2WA)", WF_WFDMA_MCU_DMA1_WPDMA_RX_RING4_CTRL0_ADDR);
2585+
2586+ seq_printf(s, "MCU_DMA1 PCIe 1 Ring Configuration\n");
2587+ seq_printf(s, "%20s %10s %10s %10s %10s %10s\n",
2588+ "Name", "Base", "Cnt", "CIDX", "DIDX", "QCnt");
2589+ dump_dma_tx_ring_info(s, dev, "T2:Event1(WA2H)", WF_WFDMA_MCU_DMA1_PCIE1_WPDMA_TX_RING2_CTRL0_ADDR);
2590+ dump_dma_rx_ring_info(s, dev, "R3:TXD1(H2WA)", WF_WFDMA_MCU_DMA1_PCIE1_WPDMA_RX_RING3_CTRL0_ADDR);
2591+}
2592+
2593+static void
2594+mt7986_show_host_dma_info(struct seq_file *s, struct mt7915_dev *dev)
2595+{
2596+ u32 sys_ctrl[5] = {};
2597+
2598+ /* HOST DMA */
2599+ sys_ctrl[0] = mt76_rr(dev, MT_DBG_INT_SOURCE_CSR);
2600+ sys_ctrl[1] = mt76_rr(dev, MT_DBG_INT_MASK_CSR);
2601+ sys_ctrl[2] = mt76_rr(dev, MT_DBG_WFDMA0_INT_SOURCE_CSR);
2602+ sys_ctrl[3] = mt76_rr(dev, MT_DBG_WFDMA0_INT_MASK_CSR);
2603+ sys_ctrl[4] = mt76_rr(dev, MT_DBG_WFDMA0_GLO_CFG);
2604+
2605+ seq_printf(s, "HOST_DMA Configuration\n");
2606+ seq_printf(s, "%10s %10s %10s %10s %10s %10s\n",
2607+ "DMA", "IntCSR", "IntMask", "Glocfg", "Tx/RxEn", "Tx/RxBusy");
2608+ seq_printf(s, "%10s %10x %10x\n",
2609+ "Merge", sys_ctrl[0], sys_ctrl[1]);
2610+ seq_printf(s, "%10s %10x %10x %10x %4lx/%5lx %4lx/%5lx\n",
2611+ "DMA0", sys_ctrl[2], sys_ctrl[3], sys_ctrl[4],
2612+ FIELD_GET(MT_DBG_WFDMA_HOST_DMA0_GLO_CFG_TX_DMA_EN_MASK, sys_ctrl[4]),
2613+ FIELD_GET(MT_DBG_WFDMA_HOST_DMA0_GLO_CFG_RX_DMA_EN_MASK, sys_ctrl[4]),
2614+ FIELD_GET(MT_DBG_WFDMA_HOST_DMA0_GLO_CFG_TX_DMA_BUSY_MASK, sys_ctrl[4]),
2615+ FIELD_GET(MT_DBG_WFDMA_HOST_DMA0_GLO_CFG_RX_DMA_BUSY_MASK, sys_ctrl[4]));
2616+
2617+
2618+ seq_printf(s, "HOST_DMA0 Ring Configuration\n");
2619+ seq_printf(s, "%20s %10s %10s %10s %10s %10s\n",
2620+ "Name", "Base", "Cnt", "CIDX", "DIDX", "QCnt");
2621+ dump_dma_tx_ring_info(s, dev, "T16:FWDL", MT_DBG_TX_RING_CTRL(0));
2622+ dump_dma_tx_ring_info(s, dev, "T17:Cmd(H2WM)", MT_DBG_TX_RING_CTRL(1));
2623+ dump_dma_tx_ring_info(s, dev, "T18:TXD0(H2WA)", MT_DBG_TX_RING_CTRL(2));
2624+ dump_dma_tx_ring_info(s, dev, "T19:TXD1(H2WA)", MT_DBG_TX_RING_CTRL(3));
2625+ dump_dma_tx_ring_info(s, dev, "T20:Cmd(H2WA)", MT_DBG_TX_RING_CTRL(4));
2626+ dump_dma_rx_ring_info(s, dev, "R0:Event(WM2H)", MT_DBG_RX_DATA_RING_CTRL(0));
2627+ dump_dma_rx_ring_info(s, dev, "R1:Event(WA2H)", MT_DBG_RX_EVENT_RING_CTRL(1));
2628+ dump_dma_rx_ring_info(s, dev, "R2:TxDone(WA2H)", MT_DBG_RX_EVENT_RING_CTRL(2));
2629+ dump_dma_rx_ring_info(s, dev, "R3:TxDone1(WA2H)", MT_DBG_RX_EVENT_RING_CTRL(3));
2630+ dump_dma_rx_ring_info(s, dev, "R4:Data0(MAC2H)", MT_DBG_RX_DATA_RING_CTRL(0));
2631+ dump_dma_rx_ring_info(s, dev, "R5:Data1(MAC2H)", MT_DBG_RX_DATA_RING_CTRL(1));
2632+}
2633+
2634+static void
2635+mt7986_show_mcu_dma_info(struct seq_file *s, struct mt7915_dev *dev)
2636+{
2637+ u32 sys_ctrl[3] = {};
2638+
2639+ /* MCU DMA information */
2640+ sys_ctrl[0] = mt76_rr(dev, WF_WFDMA_MCU_DMA0_WPDMA_GLO_CFG_ADDR);
2641+ sys_ctrl[1] = mt76_rr(dev, WF_WFDMA_MCU_DMA0_HOST_INT_STA_ADDR);
2642+ sys_ctrl[2] = mt76_rr(dev, WF_WFDMA_MCU_DMA0_HOST_INT_ENA_ADDR);
2643+
2644+ seq_printf(s, "MCU_DMA Configuration\n");
2645+ seq_printf(s, "%10s %10s %10s %10s %10s %10s\n",
2646+ "DMA", "IntCSR", "IntMask", "Glocfg", "Tx/RxEn", "Tx/RxBusy");
2647+ seq_printf(s, "%10s %10x %10x %10x %4x/%5x %4x/%5x\n",
2648+ "DMA0", sys_ctrl[1], sys_ctrl[2], sys_ctrl[0],
2649+ (sys_ctrl[0] & WF_WFDMA_MCU_DMA0_WPDMA_GLO_CFG_TX_DMA_EN_MASK) >> WF_WFDMA_MCU_DMA0_WPDMA_GLO_CFG_TX_DMA_EN_SHFT,
2650+ (sys_ctrl[0] & WF_WFDMA_MCU_DMA0_WPDMA_GLO_CFG_RX_DMA_EN_MASK) >> WF_WFDMA_MCU_DMA0_WPDMA_GLO_CFG_RX_DMA_EN_SHFT,
2651+ (sys_ctrl[0] & WF_WFDMA_MCU_DMA0_WPDMA_GLO_CFG_TX_DMA_BUSY_MASK) >> WF_WFDMA_MCU_DMA0_WPDMA_GLO_CFG_TX_DMA_BUSY_SHFT,
2652+ (sys_ctrl[0] & WF_WFDMA_MCU_DMA0_WPDMA_GLO_CFG_RX_DMA_BUSY_MASK) >> WF_WFDMA_MCU_DMA0_WPDMA_GLO_CFG_RX_DMA_BUSY_SHFT);
2653+
2654+ seq_printf(s, "MCU_DMA0 Ring Configuration\n");
2655+ seq_printf(s, "%22s %10s %10s %10s %10s %10s\n",
2656+ "Name", "Base", "Cnt", "CIDX", "DIDX", "QCnt");
2657+ dump_dma_tx_ring_info(s, dev, "T0:Event (WM2H)", WF_WFDMA_MCU_DMA0_WPDMA_TX_RING0_CTRL0_ADDR);
2658+ dump_dma_tx_ring_info(s, dev, "T1:Event (WA2H)", WF_WFDMA_MCU_DMA0_WPDMA_TX_RING1_CTRL0_ADDR);
2659+ dump_dma_tx_ring_info(s, dev, "T2:TxDone (WA2H)", WF_WFDMA_MCU_DMA0_WPDMA_TX_RING2_CTRL0_ADDR);
2660+ dump_dma_tx_ring_info(s, dev, "T3:TxDone1 (WA2H)", WF_WFDMA_MCU_DMA0_WPDMA_TX_RING3_CTRL0_ADDR);
2661+ dump_dma_tx_ring_info(s, dev, "T4:TXD (WM2MAC)", WF_WFDMA_MCU_DMA0_WPDMA_TX_RING4_CTRL0_ADDR);
2662+ dump_dma_tx_ring_info(s, dev, "T5:TXCMD (WM2MAC)", WF_WFDMA_MCU_DMA0_WPDMA_TX_RING5_CTRL0_ADDR);
2663+ dump_dma_tx_ring_info(s, dev, "T6:TXD (WA2MAC)", WF_WFDMA_MCU_DMA0_WPDMA_TX_RING6_CTRL0_ADDR);
2664+ dump_dma_rx_ring_info(s, dev, "R0:FWDL", WF_WFDMA_MCU_DMA0_WPDMA_RX_RING0_CTRL0_ADDR);
2665+ dump_dma_rx_ring_info(s, dev, "R1:Cmd (H2WM)", WF_WFDMA_MCU_DMA0_WPDMA_RX_RING1_CTRL0_ADDR);
2666+ dump_dma_rx_ring_info(s, dev, "R2:TXD (H2WA)", WF_WFDMA_MCU_DMA0_WPDMA_RX_RING2_CTRL0_ADDR);
2667+ dump_dma_rx_ring_info(s, dev, "R3:TXD1 (H2WA)", WF_WFDMA_MCU_DMA0_WPDMA_RX_RING3_CTRL0_ADDR);
2668+ dump_dma_rx_ring_info(s, dev, "R4:Cmd (H2WA)", WF_WFDMA_MCU_DMA0_WPDMA_RX_RING4_CTRL0_ADDR);
2669+ dump_dma_rx_ring_info(s, dev, "R5:Data (MAC2WM)", WF_WFDMA_MCU_DMA0_WPDMA_RX_RING5_CTRL0_ADDR);
2670+ dump_dma_rx_ring_info(s, dev, "R6:TxDone/STS (MAC2WM)", WF_WFDMA_MCU_DMA0_WPDMA_RX_RING6_CTRL0_ADDR);
2671+ dump_dma_rx_ring_info(s, dev, "R7:RPT (MAC2WM)", WF_WFDMA_MCU_DMA0_WPDMA_RX_RING7_CTRL0_ADDR);
2672+ dump_dma_rx_ring_info(s, dev, "R8:TxDone/STS (MAC2WA)", WF_WFDMA_MCU_DMA0_WPDMA_RX_RING8_CTRL0_ADDR);
2673+ dump_dma_rx_ring_info(s, dev, "R9:Data1 (MAC2WM)", WF_WFDMA_MCU_DMA0_WPDMA_RX_RING9_CTRL0_ADDR);
2674+
2675+}
2676+
2677+static void
2678+mt7915_show_dma_info(struct seq_file *s, struct mt7915_dev *dev)
2679+{
2680+ u32 sys_ctrl[10] = {};
2681+
2682+ if(is_mt7915(&dev->mt76)) {
2683+ mt7915_show_host_dma_info(s, dev);
2684+ mt7915_show_mcu_dma_info(s, dev);
2685+ } else {
2686+ mt7986_show_host_dma_info(s, dev);
2687+ mt7986_show_mcu_dma_info(s, dev);
2688+ }
2689+
2690+ /* MEM DMA information */
2691+ sys_ctrl[0] = mt76_rr(dev, WF_WFDMA_MEM_DMA_WPDMA_GLO_CFG_ADDR);
2692+ sys_ctrl[1] = mt76_rr(dev, WF_WFDMA_MEM_DMA_HOST_INT_STA_ADDR);
2693+ sys_ctrl[2] = mt76_rr(dev, WF_WFDMA_MEM_DMA_HOST_INT_ENA_ADDR);
2694+
2695+ seq_printf(s, "MEM_DMA Configuration\n");
2696+ seq_printf(s, "%10s %10s %10s %10s %10s %10s\n",
2697+ "DMA", "IntCSR", "IntMask", "Glocfg", "Tx/RxEn", "Tx/RxBusy");
2698+ seq_printf(s, "%10s %10x %10x %10x %4x/%5x %4x/%5x\n",
2699+ "MEM", sys_ctrl[1], sys_ctrl[2], sys_ctrl[0],
2700+ (sys_ctrl[0] & WF_WFDMA_MEM_DMA_WPDMA_GLO_CFG_TX_DMA_EN_MASK) >> WF_WFDMA_MEM_DMA_WPDMA_GLO_CFG_TX_DMA_EN_SHFT,
2701+ (sys_ctrl[0] & WF_WFDMA_MEM_DMA_WPDMA_GLO_CFG_RX_DMA_EN_MASK) >> WF_WFDMA_MEM_DMA_WPDMA_GLO_CFG_RX_DMA_EN_SHFT,
2702+ (sys_ctrl[0] & WF_WFDMA_MEM_DMA_WPDMA_GLO_CFG_TX_DMA_BUSY_MASK) >> WF_WFDMA_MEM_DMA_WPDMA_GLO_CFG_TX_DMA_BUSY_SHFT,
2703+ (sys_ctrl[0] & WF_WFDMA_MEM_DMA_WPDMA_GLO_CFG_RX_DMA_BUSY_MASK) >> WF_WFDMA_MEM_DMA_WPDMA_GLO_CFG_RX_DMA_BUSY_SHFT);
2704+
2705+ seq_printf(s, "MEM_DMA Ring Configuration\n");
2706+ seq_printf(s, "%20s %10s %10s %10s %10s %10s\n",
2707+ "Name", "Base", "Cnt", "CIDX", "DIDX", "QCnt");
2708+ dump_dma_tx_ring_info(s, dev, "T0:CmdEvent(WM2WA)", WF_WFDMA_MEM_DMA_WPDMA_TX_RING0_CTRL0_ADDR);
2709+ dump_dma_tx_ring_info(s, dev, "T1:CmdEvent(WA2WM)", WF_WFDMA_MEM_DMA_WPDMA_TX_RING1_CTRL0_ADDR);
2710+ dump_dma_rx_ring_info(s, dev, "R0:CmdEvent(WM2WA)", WF_WFDMA_MEM_DMA_WPDMA_RX_RING0_CTRL0_ADDR);
2711+ dump_dma_rx_ring_info(s, dev, "R1:CmdEvent(WA2WM)", WF_WFDMA_MEM_DMA_WPDMA_RX_RING1_CTRL0_ADDR);
2712+}
2713+
2714+static int mt7915_trinfo_read(struct seq_file *s, void *data)
2715+{
2716+ struct mt7915_dev *dev = dev_get_drvdata(s->private);
2717+ const struct hif_pci_tx_ring_desc *tx_ring_layout;
2718+ const struct hif_pci_rx_ring_desc *rx_ring_layout;
2719+ u32 tx_ring_num, rx_ring_num;
2720+ u32 tbase[5], tcnt[5];
2721+ u32 tcidx[5], tdidx[5];
2722+ u32 rbase[6], rcnt[6];
2723+ u32 rcidx[6], rdidx[6];
2724+ int idx;
2725+
2726+ if(is_mt7915(&dev->mt76)) {
2727+ tx_ring_layout = &mt7915_tx_ring_layout[0];
2728+ rx_ring_layout = &mt7915_rx_ring_layout[0];
2729+ tx_ring_num = ARRAY_SIZE(mt7915_tx_ring_layout);
2730+ rx_ring_num = ARRAY_SIZE(mt7915_rx_ring_layout);
2731+ } else {
2732+ tx_ring_layout = &mt7986_tx_ring_layout[0];
2733+ rx_ring_layout = &mt7986_rx_ring_layout[0];
2734+ tx_ring_num = ARRAY_SIZE(mt7986_tx_ring_layout);
2735+ rx_ring_num = ARRAY_SIZE(mt7986_rx_ring_layout);
2736+ }
2737+
2738+ for (idx = 0; idx < tx_ring_num; idx++) {
2739+ tbase[idx] = mt76_rr(dev, MT_DBG_TX_RING_CTRL(idx));
2740+ tcnt[idx] = mt76_rr(dev, MT_DBG_TX_RING_CTRL(idx) + 0x04);
2741+ tcidx[idx] = mt76_rr(dev, MT_DBG_TX_RING_CTRL(idx) + 0x08);
2742+ tdidx[idx] = mt76_rr(dev, MT_DBG_TX_RING_CTRL(idx) + 0x0c);
2743+ }
2744+
2745+ for (idx = 0; idx < rx_ring_num; idx++) {
2746+ if (idx < 2) {
2747+ rbase[idx] = mt76_rr(dev, MT_DBG_RX_DATA_RING_CTRL(idx));
2748+ rcnt[idx] = mt76_rr(dev, MT_DBG_RX_DATA_RING_CTRL(idx) + 0x04);
2749+ rcidx[idx] = mt76_rr(dev, MT_DBG_RX_DATA_RING_CTRL(idx) + 0x08);
2750+ rdidx[idx] = mt76_rr(dev, MT_DBG_RX_DATA_RING_CTRL(idx) + 0x0c);
2751+ } else {
2752+ rbase[idx] = mt76_rr(dev, MT_DBG_RX_EVENT_RING_CTRL(idx - 2));
2753+ rcnt[idx] = mt76_rr(dev, MT_DBG_RX_EVENT_RING_CTRL(idx - 2) + 0x04);
2754+ rcidx[idx] = mt76_rr(dev, MT_DBG_RX_EVENT_RING_CTRL(idx - 2) + 0x08);
2755+ rdidx[idx] = mt76_rr(dev, MT_DBG_RX_EVENT_RING_CTRL(idx - 2) + 0x0c);
2756+ }
2757+ }
2758+
2759+ seq_printf(s, "=================================================\n");
2760+ seq_printf(s, "TxRing Configuration\n");
2761+ seq_printf(s, "%4s %10s %8s %1s %6s %6s %6s %6s\n",
2762+ "Idx", "Attr", "Reg", "Base", "Cnt", "CIDX", "DIDX",
2763+ "QCnt");
2764+ for (idx = 0; idx < tx_ring_num; idx++) {
2765+ u32 queue_cnt;
2766+
2767+ queue_cnt = (tcidx[idx] >= tdidx[idx]) ?
2768+ (tcidx[idx] - tdidx[idx]) :
2769+ (tcidx[idx] - tdidx[idx] + tcnt[idx]);
2770+ seq_printf(s, "%4d %8s %8x %10x %6x %6x %6x %6x\n",
2771+ idx, tx_ring_layout[idx].ring_info,
2772+ MT_DBG_TX_RING_CTRL(idx), tbase[idx],
2773+ tcnt[idx], tcidx[idx], tdidx[idx], queue_cnt);
2774+ }
2775+
2776+ seq_printf(s, "RxRing Configuration\n");
2777+ seq_printf(s, "%4s %10s %8s %10s %6s %6s %6s %6s\n",
2778+ "Idx", "Attr", "Reg", "Base", "Cnt", "CIDX", "DIDX",
2779+ "QCnt");
2780+
2781+ for (idx = 0; idx < rx_ring_num; idx++) {
2782+ u32 queue_cnt;
2783+
2784+ queue_cnt = (rdidx[idx] > rcidx[idx]) ?
2785+ (rdidx[idx] - rcidx[idx] - 1) :
2786+ (rdidx[idx] - rcidx[idx] + rcnt[idx] - 1);
2787+ seq_printf(s, "%4d %8s %8x %10x %6x %6x %6x %6x\n",
2788+ idx, rx_ring_layout[idx].ring_info,
2789+ (idx < 2) ? MT_DBG_RX_DATA_RING_CTRL(idx): MT_DBG_RX_EVENT_RING_CTRL(idx - 2),
2790+ rbase[idx], rcnt[idx], rcidx[idx], rdidx[idx], queue_cnt);
2791+ }
2792+
2793+ mt7915_show_dma_info(s, dev);
2794+ return 0;
2795+}
2796+
2797+static int mt7915_drr_info(struct seq_file *s, void *data)
2798+{
2799+#define DL_AC_START 0x00
2800+#define DL_AC_END 0x0F
2801+#define UL_AC_START 0x10
2802+#define UL_AC_END 0x1F
2803+
2804+ struct mt7915_dev *dev = dev_get_drvdata(s->private);
2805+ u32 drr_sta_status[16];
2806+ u32 drr_ctrl_def_val = 0x80220000, drr_ctrl_val = 0;
2807+ bool is_show = false;
2808+ int idx, sta_line = 0, sta_no = 0, max_sta_line = (mt7915_wtbl_size(dev) + 31) / 32;
2809+ seq_printf(s, "DRR Table STA Info:\n");
2810+
2811+ for (idx = DL_AC_START; idx <= DL_AC_END; idx++) {
2812+ is_show = true;
2813+ drr_ctrl_val = (drr_ctrl_def_val | idx);
2814+ mt76_wr(dev, MT_DBG_PLE_DRR_TAB_CTRL, drr_ctrl_val);
2815+ drr_sta_status[0] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA0);
2816+ drr_sta_status[1] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA1);
2817+ drr_sta_status[2] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA2);
2818+ drr_sta_status[3] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA3);
2819+ drr_sta_status[4] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA4);
2820+ drr_sta_status[5] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA5);
2821+ drr_sta_status[6] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA6);
2822+ drr_sta_status[7] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA7);
2823+
2824+ if (is_mt7915(&dev->mt76) && max_sta_line > 8) {
2825+ drr_ctrl_val = (drr_ctrl_def_val | idx | 1 << 10);
2826+ mt76_wr(dev, MT_DBG_PLE_DRR_TAB_CTRL, drr_ctrl_val);
2827+ drr_sta_status[8] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA0);
2828+ drr_sta_status[9] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA1);
2829+ drr_sta_status[10] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA2);
2830+ drr_sta_status[11] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA3);
2831+ drr_sta_status[12] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA4);
2832+ drr_sta_status[13] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA5);
2833+ drr_sta_status[14] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA6);
2834+ drr_sta_status[15] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA7);
2835+ }
2836+ if (!is_mt7915(&dev->mt76))
2837+ max_sta_line = 8;
2838+
2839+ for (sta_line = 0; sta_line < max_sta_line; sta_line++) {
2840+ if (drr_sta_status[sta_line] > 0) {
2841+ for (sta_no = 0; sta_no < 32; sta_no++) {
2842+ if (((drr_sta_status[sta_line] & (0x1 << sta_no)) >> sta_no)) {
2843+ if (is_show) {
2844+ seq_printf(s, "\n DL AC%02d Queue Non-Empty STA:\n", idx);
2845+ is_show = false;
2846+ }
2847+ seq_printf(s, "%d ", sta_no + (sta_line * 32));
2848+ }
2849+ }
2850+ }
2851+ }
2852+ }
2853+
2854+ for (idx = UL_AC_START; idx <= UL_AC_END; idx++) {
2855+ is_show = true;
2856+ drr_ctrl_val = (drr_ctrl_def_val | idx);
2857+ mt76_wr(dev, MT_DBG_PLE_DRR_TAB_CTRL, drr_ctrl_val);
2858+ drr_sta_status[0] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA0);
2859+ drr_sta_status[1] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA1);
2860+ drr_sta_status[2] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA2);
2861+ drr_sta_status[3] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA3);
2862+ drr_sta_status[4] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA4);
2863+ drr_sta_status[5] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA5);
2864+ drr_sta_status[6] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA6);
2865+ drr_sta_status[7] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA7);
2866+
2867+ if (is_mt7915(&dev->mt76) && max_sta_line > 8) {
2868+ drr_ctrl_val = (drr_ctrl_def_val | idx | 1 << 10);
2869+ mt76_wr(dev, MT_DBG_PLE_DRR_TAB_CTRL, drr_ctrl_val);
2870+ drr_sta_status[8] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA0);
2871+ drr_sta_status[9] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA1);
2872+ drr_sta_status[10] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA2);
2873+ drr_sta_status[11] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA3);
2874+ drr_sta_status[12] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA4);
2875+ drr_sta_status[13] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA5);
2876+ drr_sta_status[14] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA6);
2877+ drr_sta_status[15] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA7);
2878+ }
2879+
2880+ if (!is_mt7915(&dev->mt76))
2881+ max_sta_line = 8;
2882+
2883+ for (sta_line = 0; sta_line < max_sta_line; sta_line++) {
2884+ if (drr_sta_status[sta_line] > 0) {
2885+ for (sta_no = 0; sta_no < 32; sta_no++) {
2886+ if (((drr_sta_status[sta_line] & (0x1 << sta_no)) >> sta_no)) {
2887+ if (is_show) {
2888+ seq_printf(s, "\n UL AC%02d Queue Non-Empty STA:\n", idx);
2889+ is_show = false;
2890+ }
2891+ seq_printf(s, "%d ", sta_no + (sta_line * 32));
2892+ }
2893+ }
2894+ }
2895+ }
2896+ }
2897+
2898+ for (idx = DL_AC_START; idx <= DL_AC_END; idx++) {
2899+ drr_ctrl_def_val = 0x80420000;
2900+ drr_ctrl_val = (drr_ctrl_def_val | idx);
2901+ mt76_wr(dev, MT_DBG_PLE_DRR_TAB_CTRL, drr_ctrl_val);
2902+ drr_sta_status[0] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA0);
2903+ drr_sta_status[1] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA1);
2904+ drr_sta_status[2] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA2);
2905+ drr_sta_status[3] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA3);
2906+ drr_sta_status[4] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA4);
2907+ drr_sta_status[5] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA5);
2908+ drr_sta_status[6] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA6);
2909+ drr_sta_status[7] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA7);
2910+
2911+ if (is_mt7915(&dev->mt76) && max_sta_line > 8) {
2912+ drr_ctrl_val = (drr_ctrl_def_val | idx | 1<<10);
2913+ mt76_wr(dev, MT_DBG_PLE_DRR_TAB_CTRL, drr_ctrl_val);
2914+ drr_sta_status[8] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA0);
2915+ drr_sta_status[9] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA1);
2916+ drr_sta_status[10] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA2);
2917+ drr_sta_status[11] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA3);
2918+ drr_sta_status[12] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA4);
2919+ drr_sta_status[13] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA5);
2920+ drr_sta_status[14] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA6);
2921+ drr_sta_status[15] = mt76_rr(dev, MT_DBG_PLE_DRR_TABLE_RDATA7);
2922+ }
2923+
2924+ seq_printf(s, "\nBSSGrp[%d]:\n", idx);
2925+ if (!is_mt7915(&dev->mt76))
2926+ max_sta_line = 8;
2927+
2928+ for (sta_line = 0; sta_line < max_sta_line; sta_line++) {
2929+ seq_printf(s, "0x%08x ", drr_sta_status[sta_line]);
2930+
2931+ if ((sta_line % 4) == 3)
2932+ seq_printf(s, "\n");
2933+ }
2934+ }
2935+
2936+ return 0;
2937+}
2938+
developer68e1eb22022-05-09 17:02:12 +08002939+#define CR_NUM_OF_AC 17
developere2cc0fa2022-03-29 17:31:03 +08002940+
2941+typedef enum _ENUM_UMAC_PORT_T {
2942+ ENUM_UMAC_HIF_PORT_0 = 0,
2943+ ENUM_UMAC_CPU_PORT_1 = 1,
2944+ ENUM_UMAC_LMAC_PORT_2 = 2,
2945+ ENUM_PLE_CTRL_PSE_PORT_3 = 3,
2946+ ENUM_UMAC_PSE_PLE_PORT_TOTAL_NUM = 4
2947+} ENUM_UMAC_PORT_T, *P_ENUM_UMAC_PORT_T;
2948+
2949+/* N9 MCU QUEUE LIST */
2950+typedef enum _ENUM_UMAC_CPU_P_QUEUE_T {
2951+ ENUM_UMAC_CTX_Q_0 = 0,
2952+ ENUM_UMAC_CTX_Q_1 = 1,
2953+ ENUM_UMAC_CTX_Q_2 = 2,
2954+ ENUM_UMAC_CTX_Q_3 = 3,
2955+ ENUM_UMAC_CRX = 0,
2956+ ENUM_UMAC_CIF_QUEUE_TOTAL_NUM = 4
2957+} ENUM_UMAC_CPU_P_QUEUE_T, *P_ENUM_UMAC_CPU_P_QUEUE_T;
2958+
2959+/* LMAC PLE TX QUEUE LIST */
2960+typedef enum _ENUM_UMAC_LMAC_PLE_TX_P_QUEUE_T {
2961+ ENUM_UMAC_LMAC_PLE_TX_Q_00 = 0x00,
2962+ ENUM_UMAC_LMAC_PLE_TX_Q_01 = 0x01,
2963+ ENUM_UMAC_LMAC_PLE_TX_Q_02 = 0x02,
2964+ ENUM_UMAC_LMAC_PLE_TX_Q_03 = 0x03,
2965+
2966+ ENUM_UMAC_LMAC_PLE_TX_Q_10 = 0x04,
2967+ ENUM_UMAC_LMAC_PLE_TX_Q_11 = 0x05,
2968+ ENUM_UMAC_LMAC_PLE_TX_Q_12 = 0x06,
2969+ ENUM_UMAC_LMAC_PLE_TX_Q_13 = 0x07,
2970+
2971+ ENUM_UMAC_LMAC_PLE_TX_Q_20 = 0x08,
2972+ ENUM_UMAC_LMAC_PLE_TX_Q_21 = 0x09,
2973+ ENUM_UMAC_LMAC_PLE_TX_Q_22 = 0x0a,
2974+ ENUM_UMAC_LMAC_PLE_TX_Q_23 = 0x0b,
2975+
2976+ ENUM_UMAC_LMAC_PLE_TX_Q_30 = 0x0c,
2977+ ENUM_UMAC_LMAC_PLE_TX_Q_31 = 0x0d,
2978+ ENUM_UMAC_LMAC_PLE_TX_Q_32 = 0x0e,
2979+ ENUM_UMAC_LMAC_PLE_TX_Q_33 = 0x0f,
2980+
2981+ ENUM_UMAC_LMAC_PLE_TX_Q_ALTX_0 = 0x10,
2982+ ENUM_UMAC_LMAC_PLE_TX_Q_BMC_0 = 0x11,
2983+ ENUM_UMAC_LMAC_PLE_TX_Q_BNC_0 = 0x12,
2984+ ENUM_UMAC_LMAC_PLE_TX_Q_PSMP_0 = 0x13,
2985+
2986+ ENUM_UMAC_LMAC_PLE_TX_Q_ALTX_1 = 0x14,
2987+ ENUM_UMAC_LMAC_PLE_TX_Q_BMC_1 = 0x15,
2988+ ENUM_UMAC_LMAC_PLE_TX_Q_BNC_1 = 0x16,
2989+ ENUM_UMAC_LMAC_PLE_TX_Q_PSMP_1 = 0x17,
2990+ ENUM_UMAC_LMAC_PLE_TX_Q_NAF = 0x18,
2991+ ENUM_UMAC_LMAC_PLE_TX_Q_NBCN = 0x19,
2992+ ENUM_UMAC_LMAC_PLE_TX_Q_RELEASE = 0x1f, /* DE suggests not to use 0x1f, it's only for hw free queue */
2993+ ENUM_UMAC_LMAC_QUEUE_TOTAL_NUM = 24,
2994+
2995+} ENUM_UMAC_LMAC_TX_P_QUEUE_T, *P_ENUM_UMAC_LMAC_TX_P_QUEUE_T;
2996+
2997+typedef struct _EMPTY_QUEUE_INFO_T {
2998+ char *QueueName;
2999+ u32 Portid;
3000+ u32 Queueid;
3001+} EMPTY_QUEUE_INFO_T, *P_EMPTY_QUEUE_INFO_T;
3002+
3003+static EMPTY_QUEUE_INFO_T ple_queue_empty_info[] = {
3004+ {"CPU Q0", ENUM_UMAC_CPU_PORT_1, ENUM_UMAC_CTX_Q_0},
3005+ {"CPU Q1", ENUM_UMAC_CPU_PORT_1, ENUM_UMAC_CTX_Q_1},
3006+ {"CPU Q2", ENUM_UMAC_CPU_PORT_1, ENUM_UMAC_CTX_Q_2},
3007+ {"CPU Q3", ENUM_UMAC_CPU_PORT_1, ENUM_UMAC_CTX_Q_3},
3008+ {NULL, 0, 0}, {NULL, 0, 0}, {NULL, 0, 0}, {NULL, 0, 0}, /* 4~7 not defined */
3009+ {"ALTX Q0", ENUM_UMAC_LMAC_PORT_2, ENUM_UMAC_LMAC_PLE_TX_Q_ALTX_0}, /* Q16 */
3010+ {"BMC Q0", ENUM_UMAC_LMAC_PORT_2, ENUM_UMAC_LMAC_PLE_TX_Q_BMC_0},
3011+ {"BCN Q0", ENUM_UMAC_LMAC_PORT_2, ENUM_UMAC_LMAC_PLE_TX_Q_BNC_0},
3012+ {"PSMP Q0", ENUM_UMAC_LMAC_PORT_2, ENUM_UMAC_LMAC_PLE_TX_Q_PSMP_0},
3013+ {"ALTX Q1", ENUM_UMAC_LMAC_PORT_2, ENUM_UMAC_LMAC_PLE_TX_Q_ALTX_1},
3014+ {"BMC Q1", ENUM_UMAC_LMAC_PORT_2, ENUM_UMAC_LMAC_PLE_TX_Q_BMC_1},
3015+ {"BCN Q1", ENUM_UMAC_LMAC_PORT_2, ENUM_UMAC_LMAC_PLE_TX_Q_BNC_1},
3016+ {"PSMP Q1", ENUM_UMAC_LMAC_PORT_2, ENUM_UMAC_LMAC_PLE_TX_Q_PSMP_1},
3017+ {"NAF Q", ENUM_UMAC_LMAC_PORT_2, ENUM_UMAC_LMAC_PLE_TX_Q_NAF},
3018+ {"NBCN Q", ENUM_UMAC_LMAC_PORT_2, ENUM_UMAC_LMAC_PLE_TX_Q_NBCN},
3019+ {NULL, 0, 0}, {NULL, 0, 0}, /* 18, 19 not defined */
3020+ {"FIXFID Q", ENUM_UMAC_LMAC_PORT_2, 0x1a},
3021+ {NULL, 0, 0}, {NULL, 0, 0}, {NULL, 0, 0}, {NULL, 0, 0}, {NULL, 0, 0},
3022+ {NULL, 0, 0}, {NULL, 0, 0}, {NULL, 0, 0}, {NULL, 0, 0}, /* 21~29 not defined */
3023+ {"RLS Q", ENUM_PLE_CTRL_PSE_PORT_3, 0x7e},
3024+ {"RLS2 Q", ENUM_PLE_CTRL_PSE_PORT_3, 0x7f}
3025+};
3026+
3027+static EMPTY_QUEUE_INFO_T ple_txcmd_queue_empty_info[] = {
3028+ {"AC00Q", ENUM_UMAC_LMAC_PORT_2, 0x40},
3029+ {"AC01Q", ENUM_UMAC_LMAC_PORT_2, 0x41},
3030+ {"AC02Q", ENUM_UMAC_LMAC_PORT_2, 0x42},
3031+ {"AC03Q", ENUM_UMAC_LMAC_PORT_2, 0x43},
3032+ {"AC10Q", ENUM_UMAC_LMAC_PORT_2, 0x44},
3033+ {"AC11Q", ENUM_UMAC_LMAC_PORT_2, 0x45},
3034+ {"AC12Q", ENUM_UMAC_LMAC_PORT_2, 0x46},
3035+ {"AC13Q", ENUM_UMAC_LMAC_PORT_2, 0x47},
3036+ {"AC20Q", ENUM_UMAC_LMAC_PORT_2, 0x48},
3037+ {"AC21Q", ENUM_UMAC_LMAC_PORT_2, 0x49},
3038+ {"AC22Q", ENUM_UMAC_LMAC_PORT_2, 0x4a},
3039+ {"AC23Q", ENUM_UMAC_LMAC_PORT_2, 0x4b},
3040+ {"AC30Q", ENUM_UMAC_LMAC_PORT_2, 0x4c},
3041+ {"AC31Q", ENUM_UMAC_LMAC_PORT_2, 0x4d},
3042+ {"AC32Q", ENUM_UMAC_LMAC_PORT_2, 0x4e},
3043+ {"AC33Q", ENUM_UMAC_LMAC_PORT_2, 0x4f},
3044+ {"ALTX Q0", ENUM_UMAC_LMAC_PORT_2, 0x50},
3045+ {"TF Q0", ENUM_UMAC_LMAC_PORT_2, 0x51},
3046+ {"TWT TSF-TF Q0", ENUM_UMAC_LMAC_PORT_2, 0x52},
3047+ {"TWT DL Q0", ENUM_UMAC_LMAC_PORT_2, 0x53},
3048+ {"TWT UL Q0", ENUM_UMAC_LMAC_PORT_2, 0x54},
3049+ {"ALTX Q1", ENUM_UMAC_LMAC_PORT_2, 0x55},
3050+ {"TF Q1", ENUM_UMAC_LMAC_PORT_2, 0x56},
3051+ {"TWT TSF-TF Q1", ENUM_UMAC_LMAC_PORT_2, 0x57},
3052+ {"TWT DL Q1", ENUM_UMAC_LMAC_PORT_2, 0x58},
3053+ {"TWT UL Q1", ENUM_UMAC_LMAC_PORT_2, 0x59},
3054+ {NULL, 0, 0}, {NULL, 0, 0}, {NULL, 0, 0}, {NULL, 0, 0}, {NULL, 0, 0}, {NULL, 0, 0},
3055+};
3056+
3057+
3058+
3059+static char* sta_ctrl_reg[] = {"ENABLE", "DISABLE", "PAUSE"};
3060+static u32 chip_show_sta_acq_info(struct seq_file *s, struct mt7915_dev *dev, u32 *ple_stat,
3061+ u32 *sta_pause, u32 *dis_sta_map,
3062+ u32 dumptxd)
3063+{
3064+ int i, j;
3065+ u32 total_nonempty_cnt = 0;
3066+ u32 ac_num = 9, all_ac_num;
3067+
3068+ /* TDO: ac_num = 16 for mt7986 */
developer68e1eb22022-05-09 17:02:12 +08003069+ if (!is_mt7915(&dev->mt76))
3070+ ac_num = 17;
developere2cc0fa2022-03-29 17:31:03 +08003071+
3072+ all_ac_num = ac_num * 4;
3073+
3074+ for (j = 0; j < all_ac_num; j++) { /* show AC Q info */
3075+ for (i = 0; i < 32; i++) {
3076+ if (((ple_stat[j + 1] & (0x1 << i)) >> i) == 0) {
developer68e1eb22022-05-09 17:02:12 +08003077+ u32 hfid, tfid, pktcnt, ac_n = j / ac_num, ctrl = 0;
developere2cc0fa2022-03-29 17:31:03 +08003078+ u32 sta_num = i + (j % ac_num) * 32, fl_que_ctrl[3] = {0};
3079+ //struct wifi_dev *wdev = wdev_search_by_wcid(pAd, sta_num);
3080+ u32 wmmidx = 0;
3081+ struct mt7915_sta *msta;
3082+ struct mt76_wcid *wcid;
3083+ struct ieee80211_sta *sta = NULL;
3084+
3085+ wcid = rcu_dereference(dev->mt76.wcid[sta_num]);
3086+ sta = wcid_to_sta(wcid);
3087+ if (!sta) {
3088+ printk("ERROR!! no found STA wcid=%d\n", sta_num);
developer68e1eb22022-05-09 17:02:12 +08003089+ continue;
developere2cc0fa2022-03-29 17:31:03 +08003090+ }
3091+ msta = container_of(wcid, struct mt7915_sta, wcid);
3092+ wmmidx = msta->vif->mt76.wmm_idx;
3093+
developer68e1eb22022-05-09 17:02:12 +08003094+ seq_printf(s, "\tSTA%d AC%d: ", sta_num, ac_n);
developere2cc0fa2022-03-29 17:31:03 +08003095+
3096+ fl_que_ctrl[0] |= MT_DBG_PLE_FL_QUE_CTRL0_EXECUTE_MASK;
3097+ fl_que_ctrl[0] |= (ENUM_UMAC_LMAC_PORT_2 << MT_PLE_FL_QUE_CTRL0_Q_BUF_PID_SHFT);
developer68e1eb22022-05-09 17:02:12 +08003098+ fl_que_ctrl[0] |= (ac_n << MT_PLE_FL_QUE_CTRL0_Q_BUF_QID_SHFT);
developere2cc0fa2022-03-29 17:31:03 +08003099+ fl_que_ctrl[0] |= sta_num;
3100+ mt76_wr(dev, MT_DBG_PLE_FL_QUE_CTRL0, fl_que_ctrl[0]);
3101+ fl_que_ctrl[1] = mt76_rr(dev, MT_DBG_PLE_FL_QUE_CTRL2);
3102+ fl_que_ctrl[2] = mt76_rr(dev, MT_DBG_PLE_FL_QUE_CTRL3);
3103+ hfid = FIELD_GET(MT_DBG_PLE_FL_QUE_CTRL2_Q_HEAD_FID_MASK, fl_que_ctrl[1]);
3104+ tfid = FIELD_GET(MT_DBG_PLE_FL_QUE_CTRL2_Q_TAIL_FID_MASK, fl_que_ctrl[1]);
3105+ pktcnt = FIELD_GET(MT_DBG_PLE_FL_QUE_CTRL3_Q_PKT_NUM_MASK, fl_que_ctrl[2]);
3106+ seq_printf(s, "tail/head fid = 0x%03x/0x%03x, pkt cnt = 0x%03x",
3107+ tfid, hfid, pktcnt);
3108+
3109+ if (((sta_pause[j % 6] & 0x1 << i) >> i) == 1)
3110+ ctrl = 2;
3111+
3112+ if (((dis_sta_map[j % 6] & 0x1 << i) >> i) == 1)
3113+ ctrl = 1;
3114+
3115+ seq_printf(s, " ctrl = %s", sta_ctrl_reg[ctrl]);
3116+ seq_printf(s, " (wmmidx=%d)\n", wmmidx);
3117+
3118+ total_nonempty_cnt++;
3119+
3120+ // TODO
3121+ //if (pktcnt > 0 && dumptxd > 0)
3122+ // ShowTXDInfo(pAd, hfid);
3123+ }
3124+ }
3125+ }
3126+
3127+ return total_nonempty_cnt;
3128+}
3129+
3130+static void chip_show_txcmdq_info(struct seq_file *s, struct mt7915_dev *dev, u32 ple_txcmd_stat)
3131+{
3132+ int i;
3133+
3134+ seq_printf(s, "Nonempty TXCMD Q info:\n");
developer68e1eb22022-05-09 17:02:12 +08003135+ for (i = 0; i < 32; i++) {
developere2cc0fa2022-03-29 17:31:03 +08003136+ if (((ple_txcmd_stat & (0x1 << i)) >> i) == 0) {
3137+ u32 hfid, tfid, pktcnt, fl_que_ctrl[3] = {0};
3138+
3139+ if (ple_txcmd_queue_empty_info[i].QueueName != NULL) {
3140+ seq_printf(s, "\t%s: ", ple_txcmd_queue_empty_info[i].QueueName);
3141+ fl_que_ctrl[0] |= MT_DBG_PLE_FL_QUE_CTRL0_EXECUTE_MASK;
3142+ fl_que_ctrl[0] |= (ple_txcmd_queue_empty_info[i].Portid <<
3143+ MT_PLE_FL_QUE_CTRL0_Q_BUF_PID_SHFT);
3144+ fl_que_ctrl[0] |= (ple_txcmd_queue_empty_info[i].Queueid <<
3145+ MT_PLE_FL_QUE_CTRL0_Q_BUF_QID_SHFT);
3146+ } else
3147+ continue;
3148+
3149+ mt76_wr(dev, MT_DBG_PLE_FL_QUE_CTRL0, fl_que_ctrl[0]);
3150+ fl_que_ctrl[1] = mt76_rr(dev, MT_DBG_PLE_FL_QUE_CTRL2);
3151+ fl_que_ctrl[2] = mt76_rr(dev, MT_DBG_PLE_FL_QUE_CTRL3);
3152+ hfid = FIELD_GET(MT_DBG_PLE_FL_QUE_CTRL2_Q_HEAD_FID_MASK, fl_que_ctrl[1]);
3153+ tfid = FIELD_GET(MT_DBG_PLE_FL_QUE_CTRL2_Q_TAIL_FID_MASK, fl_que_ctrl[1]);
3154+ pktcnt = FIELD_GET(MT_DBG_PLE_FL_QUE_CTRL3_Q_PKT_NUM_MASK, fl_que_ctrl[2]);
3155+ seq_printf(s, "tail/head fid = 0x%03x/0x%03x, pkt cnt = 0x%03x\n",
3156+ tfid, hfid, pktcnt);
3157+ }
3158+ }
3159+}
3160+
3161+static void chip_get_ple_acq_stat(struct mt7915_dev *dev, u32 *ple_stat)
3162+{
3163+ int i;
3164+ int cr_num = 9, all_cr_num;
3165+ u32 ac , index;
3166+
3167+ /* TDO: cr_num = 16 for mt7986 */
developere2cc0fa2022-03-29 17:31:03 +08003168+ if(!is_mt7915(&dev->mt76))
developer68e1eb22022-05-09 17:02:12 +08003169+ cr_num = 17;
3170+
developere2cc0fa2022-03-29 17:31:03 +08003171+ all_cr_num = cr_num * 4;
3172+
3173+ ple_stat[0] = mt76_rr(dev, MT_DBG_PLE_QUEUE_EMPTY);
3174+
3175+ for(i = 0; i < all_cr_num; i++) {
3176+ ac = i / cr_num;
3177+ index = i % cr_num;
3178+ ple_stat[i + 1] =
3179+ mt76_rr(dev, MT_DBG_PLE_AC_QEMPTY(ac, index));
3180+
3181+ }
3182+}
3183+
3184+static void chip_get_dis_sta_map(struct mt7915_dev *dev, u32 *dis_sta_map)
3185+{
3186+ int i;
developer68e1eb22022-05-09 17:02:12 +08003187+ u32 ac_num = 9;
developere2cc0fa2022-03-29 17:31:03 +08003188+
developer68e1eb22022-05-09 17:02:12 +08003189+ /* TDO: ac_num = 16 for mt7986 */
3190+ if (!is_mt7915(&dev->mt76))
3191+ ac_num = 17;
3192+
3193+ for(i = 0; i < ac_num; i++) {
developere2cc0fa2022-03-29 17:31:03 +08003194+ dis_sta_map[i] = mt76_rr(dev, MT_DBG_PLE_DIS_STA_MAP(i));
3195+ }
3196+}
3197+
3198+static void chip_get_sta_pause(struct mt7915_dev *dev, u32 *sta_pause)
3199+{
3200+ int i;
developer68e1eb22022-05-09 17:02:12 +08003201+ u32 ac_num = 9;
3202+
3203+ /* TDO: ac_num = 16 for mt7986 */
3204+ if (!is_mt7915(&dev->mt76))
3205+ ac_num = 17;
developere2cc0fa2022-03-29 17:31:03 +08003206+
developer68e1eb22022-05-09 17:02:12 +08003207+ for(i = 0; i < ac_num; i++) {
developere2cc0fa2022-03-29 17:31:03 +08003208+ sta_pause[i] = mt76_rr(dev, MT_DBG_PLE_STATION_PAUSE(i));
3209+ }
3210+}
3211+
3212+static int mt7915_pleinfo_read(struct seq_file *s, void *data)
3213+{
3214+ struct mt7915_dev *dev = dev_get_drvdata(s->private);
3215+ u32 ple_buf_ctrl, pg_sz, pg_num;
developer68e1eb22022-05-09 17:02:12 +08003216+ u32 ple_stat[70] = {0}, pg_flow_ctrl[8] = {0};
developere2cc0fa2022-03-29 17:31:03 +08003217+ u32 ple_native_txcmd_stat;
3218+ u32 ple_txcmd_stat;
3219+ u32 sta_pause[CR_NUM_OF_AC] = {0}, dis_sta_map[CR_NUM_OF_AC] = {0};
3220+ u32 fpg_cnt, ffa_cnt, fpg_head, fpg_tail, hif_max_q, hif_min_q;
3221+ u32 rpg_hif, upg_hif, cpu_max_q, cpu_min_q, rpg_cpu, upg_cpu;
3222+ int i, j;
3223+ u32 ac_num = 9, all_ac_num;
3224+
3225+ /* TDO: ac_num = 16 for mt7986 */
developer68e1eb22022-05-09 17:02:12 +08003226+ if (!is_mt7915(&dev->mt76))
3227+ ac_num = 17;
developere2cc0fa2022-03-29 17:31:03 +08003228+
3229+ all_ac_num = ac_num * 4;
3230+
3231+ ple_buf_ctrl = mt76_rr(dev, MT_DBG_PLE_PBUF_CTRL_ADDR);
3232+ chip_get_ple_acq_stat(dev, ple_stat);
3233+ ple_txcmd_stat = mt76_rr(dev, MT_DBG_PLE_TXCMD_Q_EMPTY);
3234+ ple_native_txcmd_stat = mt76_rr(dev, MT_DBG_PLE_NATIVE_TXCMD_Q_EMPTY);
3235+ pg_flow_ctrl[0] = mt76_rr(dev, MT_DBG_PLE_FREEPG_CNT);
3236+ pg_flow_ctrl[1] = mt76_rr(dev, MT_DBG_PLE_FREEPG_HEAD_TAIL);
3237+ pg_flow_ctrl[2] = mt76_rr(dev, MT_DBG_PLE_PG_HIF_GROUP);
3238+ pg_flow_ctrl[3] = mt76_rr(dev, MT_DBG_PLE_HIF_PG_INFO);
3239+ pg_flow_ctrl[4] = mt76_rr(dev, MT_DBG_PLE_PG_CPU_GROUP);
3240+ pg_flow_ctrl[5] = mt76_rr(dev, MT_DBG_PLE_CPU_PG_INFO);
3241+ pg_flow_ctrl[6] = mt76_rr(dev, MT_DBG_PLE_PG_HIF_TXCMD_GROUP);
3242+ pg_flow_ctrl[7] = mt76_rr(dev, MT_DBG_PLE_HIF_TXCMD_PG_INFO);
3243+ chip_get_dis_sta_map(dev, dis_sta_map);
3244+ chip_get_sta_pause(dev, sta_pause);
3245+
3246+ seq_printf(s, "PLE Configuration Info:\n");
3247+ seq_printf(s, "\tPacket Buffer Control(0x%x): 0x%08x\n",
3248+ MT_DBG_PLE_PBUF_CTRL_ADDR, ple_buf_ctrl);
3249+
3250+ pg_sz = FIELD_GET(MT_DBG_PLE_PBUF_CTRL_PAGE_SIZE_MASK, ple_buf_ctrl);
3251+ seq_printf(s, "\t\tPage Size=%d(%d bytes per page)\n",
3252+ pg_sz, (pg_sz == 1 ? 128 : 64));
3253+ seq_printf(s, "\t\tPage Offset=%ld(in unit of 2KB)\n",
3254+ FIELD_GET(MT_DBG_PLE_PBUF_CTRL_OFFSET_MASK, ple_buf_ctrl));
3255+
3256+ pg_num = FIELD_GET(MT_DBG_PLE_PBUF_CTRL_TOTAL_PAGE_NUM_MASK, ple_buf_ctrl);
3257+ seq_printf(s, "\t\tTotal Page=%d pages\n", pg_num);
3258+
3259+ /* Page Flow Control */
3260+ seq_printf(s, "PLE Page Flow Control:\n");
3261+ seq_printf(s, "\tFree page counter(0x%x): 0x%08x\n",
3262+ MT_DBG_PLE_FREEPG_CNT, pg_flow_ctrl[0]);
3263+ fpg_cnt = FIELD_GET(MT_DBG_PLE_FREEPG_CNT_FREEPG_CNT_MASK, pg_flow_ctrl[0]);
3264+
3265+ seq_printf(s, "\t\tThe toal page number of free=0x%03x\n", fpg_cnt);
3266+ ffa_cnt = FIELD_GET(MT_DBG_PLE_FREEPG_CNT_FFA_CNT_MASK, pg_flow_ctrl[0]);
3267+
3268+ seq_printf(s, "\t\tThe free page numbers of free for all=0x%03x\n", ffa_cnt);
3269+ seq_printf(s, "\tFree page head and tail(0x%x): 0x%08x\n",
3270+ MT_DBG_PLE_FREEPG_HEAD_TAIL, pg_flow_ctrl[1]);
3271+
3272+ fpg_head = FIELD_GET(MT_DBG_PLE_FREEPG_HEAD_TAIL_FREEPG_HEAD_MASK, pg_flow_ctrl[1]);
3273+ fpg_tail = FIELD_GET(MT_DBG_PLE_FREEPG_HEAD_TAIL_FREEPG_TAIL_MASK, pg_flow_ctrl[1]);
3274+ seq_printf(s, "\t\tThe tail/head page of free page list=0x%03x/0x%03x\n", fpg_tail, fpg_head);
3275+ seq_printf(s, "\tReserved page counter of HIF group(0x%x): 0x%08x\n",
3276+ MT_DBG_PLE_PG_HIF_GROUP, pg_flow_ctrl[2]);
3277+ seq_printf(s, "\tHIF group page status(0x%x): 0x%08x\n",
3278+ MT_DBG_PLE_HIF_PG_INFO, pg_flow_ctrl[3]);
3279+
3280+ hif_min_q = FIELD_GET(MT_DBG_PLE_PG_HIF_GROUP_HIF_MIN_QUOTA_MASK, pg_flow_ctrl[2]);
3281+ hif_max_q = FIELD_GET(MT_DBG_PLE_PG_HIF_GROUP_HIF_MAX_QUOTA_MASK, pg_flow_ctrl[2]);
3282+ seq_printf(s, "\t\tThe max/min quota pages of HIF group=0x%03x/0x%03x\n", hif_max_q, hif_min_q);
3283+
3284+ rpg_hif = FIELD_GET(MT_DBG_PLE_HIF_PG_INFO_HIF_RSV_CNT_MASK, pg_flow_ctrl[3]);
3285+ upg_hif = FIELD_GET(MT_DBG_PLE_HIF_PG_INFO_HIF_SRC_CNT_MASK, pg_flow_ctrl[3]);
3286+ seq_printf(s, "\t\tThe used/reserved pages of HIF group=0x%03x/0x%03x\n", upg_hif, rpg_hif);
3287+
3288+ seq_printf(s, "\tReserved page counter of HIF_TXCMD group(0x%x): 0x%08x\n",
3289+ MT_DBG_PLE_PG_HIF_TXCMD_GROUP, pg_flow_ctrl[6]);
3290+ seq_printf(s, "\tHIF_TXCMD group page status(0x%x): 0x%08x\n",
3291+ MT_DBG_PLE_HIF_TXCMD_PG_INFO, pg_flow_ctrl[7]);
3292+ cpu_min_q = FIELD_GET(MT_DBG_PLE_PG_HIF_TXCMD_GROUP_HIF_TXCMD_MIN_QUOTA_MASK, pg_flow_ctrl[6]);
developer68e1eb22022-05-09 17:02:12 +08003293+ cpu_max_q = FIELD_GET(MT_DBG_PLE_PG_HIF_TXCMD_GROUP_HIF_TXCMD_MAX_QUOTA_MASK, pg_flow_ctrl[6]);
developere2cc0fa2022-03-29 17:31:03 +08003294+ seq_printf(s, "\t\tThe max/min quota pages of HIF_TXCMD group=0x%03x/0x%03x\n", cpu_max_q, cpu_min_q);
3295+
3296+ rpg_cpu = FIELD_GET(MT_DBG_PLE_TXCMD_PG_INFO_HIF_TXCMD_RSV_CNT_MASK, pg_flow_ctrl[7]);
3297+ upg_cpu = FIELD_GET(MT_DBG_PLE_TXCMD_PG_INFO_HIF_TXCMD_SRC_CNT_MASK, pg_flow_ctrl[7]);
3298+ seq_printf(s, "\t\tThe used/reserved pages of HIF_TXCMD group=0x%03x/0x%03x\n", upg_cpu, rpg_cpu);
3299+
developer68e1eb22022-05-09 17:02:12 +08003300+ seq_printf(s, "\tReserved page counter of CPU group(0x%x): 0x%08x\n",
3301+ MT_DBG_PLE_PG_CPU_GROUP, pg_flow_ctrl[4]);
3302+ seq_printf(s, "\tCPU group page status(0x%x): 0x%08x\n",
3303+ MT_DBG_PLE_CPU_PG_INFO, pg_flow_ctrl[5]);
developere2cc0fa2022-03-29 17:31:03 +08003304+ cpu_min_q = FIELD_GET(MT_DBG_PLE_PG_CPU_GROUP_CPU_MIN_QUOTA_MASK, pg_flow_ctrl[4]);
3305+ cpu_max_q = FIELD_GET(MT_DBG_PLE_PG_CPU_GROUP_CPU_MAX_QUOTA_MASK, pg_flow_ctrl[4]);
3306+ seq_printf(s, "\t\tThe max/min quota pages of CPU group=0x%03x/0x%03x\n", cpu_max_q, cpu_min_q);
3307+
3308+ rpg_cpu = FIELD_GET(MT_DBG_PLE_CPU_PG_INFO_CPU_RSV_CNT_MASK, pg_flow_ctrl[5]);
3309+ upg_cpu = FIELD_GET(MT_DBG_PLE_CPU_PG_INFO_CPU_SRC_CNT_MASK, pg_flow_ctrl[5]);
3310+ seq_printf(s, "\t\tThe used/reserved pages of CPU group=0x%03x/0x%03x\n", upg_cpu, rpg_cpu);
3311+
3312+ if ((ple_stat[0] & MT_DBG_PLE_Q_EMPTY_ALL_AC_EMPTY_MASK) == 0) {
3313+ for (j = 0; j < all_ac_num; j++) {
3314+ if (j % ac_num == 0) {
3315+ seq_printf(s, "\n\tNonempty AC%d Q of STA#: ", j / ac_num);
3316+ }
3317+
developer68e1eb22022-05-09 17:02:12 +08003318+ for (i = 0; i < 32; i++) {
developere2cc0fa2022-03-29 17:31:03 +08003319+ if (((ple_stat[j + 1] & (0x1 << i)) >> i) == 0) {
3320+ seq_printf(s, "%d ", i + (j % ac_num) * 32);
3321+ }
3322+ }
3323+ }
3324+
3325+ seq_printf(s, "\n");
3326+ }
3327+
3328+ seq_printf(s, "non-native/native txcmd queue empty = %d/%d\n", ple_txcmd_stat, ple_native_txcmd_stat);
3329+
3330+ seq_printf(s, "Nonempty Q info:\n");
3331+
developer68e1eb22022-05-09 17:02:12 +08003332+ for (i = 0; i < 32; i++) {
developere2cc0fa2022-03-29 17:31:03 +08003333+ if (((ple_stat[0] & (0x1 << i)) >> i) == 0) {
3334+ u32 hfid, tfid, pktcnt, fl_que_ctrl[3] = {0};
3335+
3336+ if (ple_queue_empty_info[i].QueueName != NULL) {
3337+ seq_printf(s, "\t%s: ", ple_queue_empty_info[i].QueueName);
3338+ fl_que_ctrl[0] |= MT_DBG_PLE_FL_QUE_CTRL0_EXECUTE_MASK;
3339+ fl_que_ctrl[0] |= (ple_queue_empty_info[i].Portid << MT_PLE_FL_QUE_CTRL0_Q_BUF_PID_SHFT);
3340+ fl_que_ctrl[0] |= (ple_queue_empty_info[i].Queueid << MT_PLE_FL_QUE_CTRL0_Q_BUF_QID_SHFT);
3341+ } else
3342+ continue;
3343+
3344+ if (ple_queue_empty_info[i].Queueid >= ENUM_UMAC_LMAC_PLE_TX_Q_ALTX_0 &&
3345+ ple_queue_empty_info[i].Queueid <= ENUM_UMAC_LMAC_PLE_TX_Q_PSMP_0)
3346+ /* band0 set TGID 0, bit31 = 0 */
3347+ mt76_wr(dev, MT_DBG_PLE_FL_QUE_CTRL1, 0x0);
3348+ else if (ple_queue_empty_info[i].Queueid >= ENUM_UMAC_LMAC_PLE_TX_Q_ALTX_1 &&
3349+ ple_queue_empty_info[i].Queueid <= ENUM_UMAC_LMAC_PLE_TX_Q_PSMP_1)
3350+ /* band1 set TGID 1, bit31 = 1 */
3351+ mt76_wr(dev, MT_DBG_PLE_FL_QUE_CTRL1, 0x80000000);
3352+
3353+ mt76_wr(dev, MT_DBG_PLE_FL_QUE_CTRL0, fl_que_ctrl[0]);
3354+ fl_que_ctrl[1] = mt76_rr(dev, MT_DBG_PLE_FL_QUE_CTRL2);
3355+ fl_que_ctrl[2] = mt76_rr(dev, MT_DBG_PLE_FL_QUE_CTRL3);
3356+ hfid = FIELD_GET(MT_DBG_PLE_FL_QUE_CTRL2_Q_HEAD_FID_MASK, fl_que_ctrl[1]);
3357+ tfid = FIELD_GET(MT_DBG_PLE_FL_QUE_CTRL2_Q_TAIL_FID_MASK, fl_que_ctrl[1]);
3358+ pktcnt = FIELD_GET(MT_DBG_PLE_FL_QUE_CTRL3_Q_PKT_NUM_MASK, fl_que_ctrl[2]);
3359+ seq_printf(s, "tail/head fid = 0x%03x/0x%03x, pkt cnt = 0x%03x\n",
3360+ tfid, hfid, pktcnt);
3361+
3362+ /* TODO */
3363+ //if (pktcnt > 0 && dumptxd > 0)
3364+ // ShowTXDInfo(pAd, hfid);
3365+ }
3366+ }
3367+
3368+ chip_show_sta_acq_info(s, dev, ple_stat, sta_pause, dis_sta_map, 0/*dumptxd*/);
3369+ chip_show_txcmdq_info(s, dev, ple_native_txcmd_stat);
3370+
3371+ return 0;
3372+}
3373+
3374+typedef enum _ENUM_UMAC_PLE_CTRL_P3_QUEUE_T {
3375+ ENUM_UMAC_PLE_CTRL_P3_Q_0X1E = 0x1e,
3376+ ENUM_UMAC_PLE_CTRL_P3_Q_0X1F = 0x1f,
3377+ ENUM_UMAC_PLE_CTRL_P3_TOTAL_NUM = 2
3378+} ENUM_UMAC_PLE_CTRL_P3_QUEUE_T, *P_ENUM_UMAC_PLE_CTRL_P3_QUEUE_T;
3379+
3380+static EMPTY_QUEUE_INFO_T pse_queue_empty_info[] = {
3381+ {"CPU Q0", ENUM_UMAC_CPU_PORT_1, ENUM_UMAC_CTX_Q_0},
3382+ {"CPU Q1", ENUM_UMAC_CPU_PORT_1, ENUM_UMAC_CTX_Q_1},
3383+ {"CPU Q2", ENUM_UMAC_CPU_PORT_1, ENUM_UMAC_CTX_Q_2},
3384+ {"CPU Q3", ENUM_UMAC_CPU_PORT_1, ENUM_UMAC_CTX_Q_3},
3385+ {NULL, 0, 0}, {NULL, 0, 0}, {NULL, 0, 0}, {NULL, 0, 0}, /* 4~7 not defined */
3386+ {"HIF Q0", ENUM_UMAC_HIF_PORT_0, 0}, /* Q8 */
3387+ {"HIF Q1", ENUM_UMAC_HIF_PORT_0, 1},
3388+ {"HIF Q2", ENUM_UMAC_HIF_PORT_0, 2},
3389+ {"HIF Q3", ENUM_UMAC_HIF_PORT_0, 3},
3390+ {"HIF Q4", ENUM_UMAC_HIF_PORT_0, 4},
3391+ {"HIF Q5", ENUM_UMAC_HIF_PORT_0, 5},
3392+ {NULL, 0, 0}, {NULL, 0, 0}, /* 14~15 not defined */
3393+ {"LMAC Q", ENUM_UMAC_LMAC_PORT_2, 0},
3394+ {"MDP TX Q", ENUM_UMAC_LMAC_PORT_2, 1},
3395+ {"MDP RX Q", ENUM_UMAC_LMAC_PORT_2, 2},
3396+ {"SEC TX Q", ENUM_UMAC_LMAC_PORT_2, 3},
3397+ {"SEC RX Q", ENUM_UMAC_LMAC_PORT_2, 4},
3398+ {"SFD_PARK Q", ENUM_UMAC_LMAC_PORT_2, 5},
3399+ {"MDP_TXIOC Q", ENUM_UMAC_LMAC_PORT_2, 6},
3400+ {"MDP_RXIOC Q", ENUM_UMAC_LMAC_PORT_2, 7},
3401+ {NULL, 0, 0}, {NULL, 0, 0}, {NULL, 0, 0}, {NULL, 0, 0}, {NULL, 0, 0}, {NULL, 0, 0}, {NULL, 0, 0}, /* 24~30 not defined */
3402+ {"RLS Q", ENUM_PLE_CTRL_PSE_PORT_3, ENUM_UMAC_PLE_CTRL_P3_Q_0X1F}
3403+};
3404+
3405+static int mt7915_pseinfo_read(struct seq_file *s, void *data)
3406+{
3407+ struct mt7915_dev *dev = dev_get_drvdata(s->private);
3408+ u32 pse_buf_ctrl, pg_sz, pg_num;
3409+ u32 pse_stat, pg_flow_ctrl[22] = {0};
3410+ u32 fpg_cnt, ffa_cnt, fpg_head, fpg_tail;
3411+ u32 max_q, min_q, rsv_pg, used_pg;
3412+ int i;
3413+
3414+ pse_buf_ctrl = mt76_rr(dev, MT_DBG_PSE_PBUF_CTRL);
3415+ pse_stat = mt76_rr(dev, MT_DBG_PSE_QUEUE_EMPTY);
3416+ pg_flow_ctrl[0] = mt76_rr(dev, MT_DBG_PSE_FREEPG_CNT);
3417+ pg_flow_ctrl[1] = mt76_rr(dev, MT_DBG_PSE_FREEPG_HEAD_TAIL);
3418+ pg_flow_ctrl[2] = mt76_rr(dev, MT_DBG_PSE_PG_HIF0_GROUP);
3419+ pg_flow_ctrl[3] = mt76_rr(dev, MT_DBG_PSE_HIF0_PG_INFO);
3420+ pg_flow_ctrl[4] = mt76_rr(dev, MT_DBG_PSE_PG_HIF1_GROUP);
3421+ pg_flow_ctrl[5] = mt76_rr(dev, MT_DBG_PSE_HIF1_PG_INFO);
3422+ pg_flow_ctrl[6] = mt76_rr(dev, MT_DBG_PSE_PG_CPU_GROUP);
3423+ pg_flow_ctrl[7] = mt76_rr(dev, MT_DBG_PSE_CPU_PG_INFO);
3424+ pg_flow_ctrl[8] = mt76_rr(dev, MT_DBG_PSE_PG_LMAC0_GROUP);
3425+ pg_flow_ctrl[9] = mt76_rr(dev, MT_DBG_PSE_LMAC0_PG_INFO);
3426+ pg_flow_ctrl[10] = mt76_rr(dev, MT_DBG_PSE_PG_LMAC1_GROUP);
3427+ pg_flow_ctrl[11] = mt76_rr(dev, MT_DBG_PSE_LMAC1_PG_INFO);
3428+ pg_flow_ctrl[12] = mt76_rr(dev, MT_DBG_PSE_PG_LMAC2_GROUP);
3429+ pg_flow_ctrl[13] = mt76_rr(dev, MT_DBG_PSE_LMAC2_PG_INFO);
3430+ pg_flow_ctrl[14] = mt76_rr(dev, MT_DBG_PSE_PG_PLE_GROUP);
3431+ pg_flow_ctrl[15] = mt76_rr(dev, MT_DBG_PSE_PLE_PG_INFO);
3432+ pg_flow_ctrl[16] = mt76_rr(dev, MT_DBG_PSE_PG_LMAC3_GROUP);
3433+ pg_flow_ctrl[17] = mt76_rr(dev, MT_DBG_PSE_LMAC3_PG_INFO);
3434+ pg_flow_ctrl[18] = mt76_rr(dev, MT_DBG_PSE_PG_MDP_GROUP);
3435+ pg_flow_ctrl[19] = mt76_rr(dev, MT_DBG_PSE_MDP_PG_INFO);
3436+ pg_flow_ctrl[20] = mt76_rr(dev, MT_DBG_PSE_PG_PLE1_GROUP);
3437+ pg_flow_ctrl[21] = mt76_rr(dev,MT_DBG_PSE_PLE1_PG_INFO);
3438+
3439+ /* Configuration Info */
3440+ seq_printf(s, "PSE Configuration Info:\n");
3441+ seq_printf(s, "\tPacket Buffer Control(0x82068014): 0x%08x\n", pse_buf_ctrl);
3442+ pg_sz = FIELD_GET(MT_DBG_PSE_PBUF_CTRL_PAGE_SIZE_CFG_MASK, pse_buf_ctrl);
3443+
3444+ seq_printf(s, "\t\tPage Size=%d(%d bytes per page)\n", pg_sz, (pg_sz == 1 ? 256 : 128));
3445+ seq_printf(s, "\t\tPage Offset=%ld(in unit of 64KB)\n",
3446+ FIELD_GET(MT_DBG_PSE_PBUF_CTRL_PBUF_OFFSET_MASK, pse_buf_ctrl));
3447+ pg_num = FIELD_GET(MT_DBG_PSE_PBUF_CTRL_TOTAL_PAGE_NUM_MASK, pse_buf_ctrl);
3448+
3449+ seq_printf(s, "\t\tTotal page numbers=%d pages\n", pg_num);
3450+
3451+ /* Page Flow Control */
3452+ seq_printf(s, "PSE Page Flow Control:\n");
3453+ seq_printf(s, "\tFree page counter(0x82068100): 0x%08x\n", pg_flow_ctrl[0]);
3454+ fpg_cnt = FIELD_GET(MT_DBG_PSE_FREEPG_CNT_FREEPG_CNT_MASK, pg_flow_ctrl[0]);
3455+ seq_printf(s, "\t\tThe toal page number of free=0x%03x\n", fpg_cnt);
3456+
3457+ ffa_cnt = FIELD_GET(MT_DBG_PSE_FREEPG_CNT_FFA_CNT_MASK, pg_flow_ctrl[0]);
3458+ seq_printf(s, "\t\tThe free page numbers of free for all=0x%03x\n", ffa_cnt);
3459+
3460+ seq_printf(s, "\tFree page head and tail(0x82068104): 0x%08x\n", pg_flow_ctrl[1]);
3461+ fpg_head = FIELD_GET(MT_DBG_PSE_FREEPG_HEAD_TAIL_FREEPG_HEAD_MASK, pg_flow_ctrl[1]);
3462+
3463+ fpg_tail = FIELD_GET(MT_DBG_PSE_FREEPG_HEAD_TAIL_FREEPG_TAIL_MASK, pg_flow_ctrl[1]);
3464+ seq_printf(s, "\t\tThe tail/head page of free page list=0x%03x/0x%03x\n", fpg_tail, fpg_head);
3465+ seq_printf(s, "\tReserved page counter of HIF0 group(0x82068110): 0x%08x\n", pg_flow_ctrl[2]);
3466+ seq_printf(s, "\tHIF0 group page status(0x82068114): 0x%08x\n", pg_flow_ctrl[3]);
3467+ min_q = FIELD_GET(MT_DBG_PSE_PG_HIF0_GROUP_HIF0_MIN_QUOTA_MASK, pg_flow_ctrl[2]);
3468+ max_q = FIELD_GET(MT_DBG_PSE_PG_HIF0_GROUP_HIF0_MAX_QUOTA_MASK, pg_flow_ctrl[2]);
3469+ seq_printf(s, "\t\tThe max/min quota pages of HIF0 group=0x%03x/0x%03x\n", max_q, min_q);
3470+ rsv_pg = FIELD_GET(MT_DBG_PSE_HIF0_PG_INFO_HIF0_RSV_CNT_MASK, pg_flow_ctrl[3]);;
3471+ used_pg = FIELD_GET(MT_DBG_PSE_HIF0_PG_INFO_HIF0_SRC_CNT_MASK, pg_flow_ctrl[3]);
3472+ seq_printf(s, "\t\tThe used/reserved pages of HIF0 group=0x%03x/0x%03x\n", used_pg, rsv_pg);
3473+ seq_printf(s, "\tReserved page counter of HIF1 group(0x82068118): 0x%08x\n", pg_flow_ctrl[4]);
3474+ seq_printf(s, "\tHIF1 group page status(0x8206811c): 0x%08x\n", pg_flow_ctrl[5]);
3475+ min_q = FIELD_GET(MT_DBG_PSE_PG_HIF1_GROUP_HIF1_MIN_QUOTA_MASK, pg_flow_ctrl[4]);
3476+ max_q = FIELD_GET(MT_DBG_PSE_PG_HIF1_GROUP_HIF1_MAX_QUOTA_MASK, pg_flow_ctrl[4]);
3477+ seq_printf(s, "\t\tThe max/min quota pages of HIF1 group=0x%03x/0x%03x\n", max_q, min_q);
3478+ rsv_pg = FIELD_GET(MT_DBG_PSE_HIF1_PG_INFO_HIF1_RSV_CNT_MASK, pg_flow_ctrl[5]);
3479+ used_pg = FIELD_GET(MT_DBG_PSE_HIF1_PG_INFO_HIF1_SRC_CNT_MASK, pg_flow_ctrl[5]);
3480+
3481+ seq_printf(s, "\t\tThe used/reserved pages of HIF1 group=0x%03x/0x%03x\n", used_pg, rsv_pg);
3482+ seq_printf(s, "\tReserved page counter of CPU group(0x82068150): 0x%08x\n", pg_flow_ctrl[6]);
3483+ seq_printf(s, "\tCPU group page status(0x82068154): 0x%08x\n", pg_flow_ctrl[7]);
3484+ min_q = FIELD_GET(MT_DBG_PSE_PG_CPU_GROUP_CPU_MIN_QUOTA_MASK, pg_flow_ctrl[6]);
3485+ max_q = FIELD_GET(MT_DBG_PSE_PG_CPU_GROUP_CPU_MAX_QUOTA_MASK, pg_flow_ctrl[6]);
3486+ seq_printf(s, "\t\tThe max/min quota pages of CPU group=0x%03x/0x%03x\n", max_q, min_q);
3487+ rsv_pg = FIELD_GET(MT_DBG_PSE_CPU_PG_INFO_CPU_RSV_CNT_MASK, pg_flow_ctrl[7]);
3488+ used_pg = FIELD_GET(MT_DBG_PSE_CPU_PG_INFO_CPU_SRC_CNT_MASK, pg_flow_ctrl[7]);
3489+ seq_printf(s, "\t\tThe used/reserved pages of CPU group=0x%03x/0x%03x\n", used_pg, rsv_pg);
3490+ seq_printf(s, "\tReserved page counter of LMAC0 group(0x82068170): 0x%08x\n", pg_flow_ctrl[8]);
3491+ seq_printf(s, "\tLMAC0 group page status(0x82068174): 0x%08x\n", pg_flow_ctrl[9]);
3492+ min_q = FIELD_GET(MT_DBG_PSE_PG_LMAC0_GROUP_LMAC0_MIN_QUOTA_MASK, pg_flow_ctrl[8]);
3493+ max_q = FIELD_GET(MT_DBG_PSE_PG_LMAC0_GROUP_LMAC0_MAX_QUOTA_MASK, pg_flow_ctrl[8]);
3494+ seq_printf(s, "\t\tThe max/min quota pages of LMAC0 group=0x%03x/0x%03x\n", max_q, min_q);
3495+ rsv_pg = FIELD_GET(MT_DBG_PSE_LMAC0_PG_INFO_LMAC0_RSV_CNT_MASK, pg_flow_ctrl[9]);
3496+ used_pg = FIELD_GET(MT_DBG_PSE_LMAC0_PG_INFO_LMAC0_SRC_CNT_MASK, pg_flow_ctrl[9]);
3497+ seq_printf(s, "\t\tThe used/reserved pages of LMAC0 group=0x%03x/0x%03x\n", used_pg, rsv_pg);
3498+ seq_printf(s, "\tReserved page counter of LMAC1 group(0x82068178): 0x%08x\n", pg_flow_ctrl[10]);
3499+ seq_printf(s, "\tLMAC1 group page status(0x8206817c): 0x%08x\n", pg_flow_ctrl[11]);
3500+ min_q = FIELD_GET(MT_DBG_TOP_PG_LMAC1_GROUP_LMAC1_MIN_QUOTA_MASK, pg_flow_ctrl[10]);
3501+ max_q = FIELD_GET(MT_DBG_PSE_PG_LMAC1_GROUP_LMAC1_MAX_QUOTA_MASK, pg_flow_ctrl[10]);
3502+ seq_printf(s, "\t\tThe max/min quota pages of LMAC1 group=0x%03x/0x%03x\n", max_q, min_q);
3503+ rsv_pg = FIELD_GET(MT_DBG_PSE_LMAC1_PG_INFO_LMAC1_RSV_CNT_MASK, pg_flow_ctrl[11]);
3504+ used_pg = FIELD_GET(MT_DBG_PSE_LMAC1_PG_INFO_LMAC1_SRC_CNT_MASK, pg_flow_ctrl[11]);
3505+ seq_printf(s, "\t\tThe used/reserved pages of LMAC1 group=0x%03x/0x%03x\n", used_pg, rsv_pg);
3506+ seq_printf(s, "\tReserved page counter of LMAC2 group(0x82068180): 0x%08x\n", pg_flow_ctrl[11]);
3507+ seq_printf(s, "\tLMAC2 group page status(0x82068184): 0x%08x\n", pg_flow_ctrl[12]);
3508+ min_q = FIELD_GET(MT_DBG_PSE_PG_LMAC2_GROUP_LMAC2_MIN_QUOTA_MASK, pg_flow_ctrl[12]);
3509+ max_q = FIELD_GET(MT_DBG_PSE_PG_LMAC2_GROUP_LMAC2_MAX_QUOTA_MASK, pg_flow_ctrl[12]);
3510+ seq_printf(s, "\t\tThe max/min quota pages of LMAC2 group=0x%03x/0x%03x\n", max_q, min_q);
3511+ rsv_pg = FIELD_GET(MT_DBG_PSE_LMAC2_PG_INFO_LMAC2_RSV_CNT_MASK, pg_flow_ctrl[13]);
3512+ used_pg = FIELD_GET(MT_DBG_PSE_LMAC2_PG_INFO_LMAC2_SRC_CNT_MASK, pg_flow_ctrl[13]);
3513+ seq_printf(s, "\t\tThe used/reserved pages of LMAC2 group=0x%03x/0x%03x\n", used_pg, rsv_pg);
3514+
3515+ seq_printf(s, "\tReserved page counter of LMAC3 group(0x82068188): 0x%08x\n", pg_flow_ctrl[16]);
3516+ seq_printf(s, "\tLMAC3 group page status(0x8206818c): 0x%08x\n", pg_flow_ctrl[17]);
3517+ min_q = FIELD_GET(MT_DBG_TOP_PG_LMAC3_GROUP_LMAC3_MIN_QUOTA_MASK, pg_flow_ctrl[16]);
3518+ max_q = FIELD_GET(MT_DBG_PSE_PG_LMAC3_GROUP_LMAC3_MAX_QUOTA_MASK, pg_flow_ctrl[16]);
3519+ seq_printf(s, "\t\tThe max/min quota pages of LMAC3 group=0x%03x/0x%03x\n", max_q, min_q);
3520+ rsv_pg = FIELD_GET(MT_DBG_PSE_LMAC3_PG_INFO_LMAC3_RSV_CNT_MASK, pg_flow_ctrl[17]);
3521+ used_pg = FIELD_GET(MT_DBG_PSE_LMAC3_PG_INFO_LMAC3_SRC_CNT_MASK, pg_flow_ctrl[17]);
3522+ seq_printf(s, "\t\tThe used/reserved pages of LMAC3 group=0x%03x/0x%03x\n", used_pg, rsv_pg);
3523+
3524+ seq_printf(s, "\tReserved page counter of PLE group(0x82068160): 0x%08x\n", pg_flow_ctrl[14]);
3525+ seq_printf(s, "\tPLE group page status(0x82068164): 0x%08x\n", pg_flow_ctrl[15]);
3526+ min_q = FIELD_GET(MT_DBG_PSE_PG_PLE_GROUP_PLE_MIN_QUOTA_MASK, pg_flow_ctrl[14]);
3527+ max_q = FIELD_GET(MT_DBG_PSE_PG_PLE_GROUP_PLE_MAX_QUOTA_MASK, pg_flow_ctrl[14]);
3528+ seq_printf(s, "\t\tThe max/min quota pages of PLE group=0x%03x/0x%03x\n", max_q, min_q);
3529+ rsv_pg = FIELD_GET(MT_DBG_PSE_PLE_PG_INFO_PLE_RSV_CNT_MASK, pg_flow_ctrl[15]);
3530+ used_pg = FIELD_GET(MT_DBG_PSE_PLE_PG_INFO_PLE_SRC_CNT_MASK, pg_flow_ctrl[15]);
3531+ seq_printf(s, "\t\tThe used/reserved pages of PLE group=0x%03x/0x%03x\n", used_pg, rsv_pg);
3532+
3533+ seq_printf(s, "\tReserved page counter of PLE1 group(0x82068168): 0x%08x\n", pg_flow_ctrl[14]);
3534+ seq_printf(s, "\tPLE1 group page status(0x8206816c): 0x%08x\n", pg_flow_ctrl[15]);
3535+ min_q = FIELD_GET(MT_DBG_PSE_PG_PLE_GROUP_PLE_MIN_QUOTA_MASK, pg_flow_ctrl[20]);
3536+ max_q = FIELD_GET(MT_DBG_PSE_PG_PLE_GROUP_PLE_MAX_QUOTA_MASK, pg_flow_ctrl[20]);
3537+ seq_printf(s, "\t\tThe max/min quota pages of PLE1 group=0x%03x/0x%03x\n", max_q, min_q);
3538+ rsv_pg = FIELD_GET(MT_DBG_PSE_PLE_PG_INFO_PLE_RSV_CNT_MASK, pg_flow_ctrl[21]);
3539+ used_pg = FIELD_GET(MT_DBG_PSE_PLE_PG_INFO_PLE_SRC_CNT_MASK, pg_flow_ctrl[21]);
3540+ seq_printf(s, "\t\tThe used/reserved pages of PLE1 group=0x%03x/0x%03x\n", used_pg, rsv_pg);
3541+
3542+ seq_printf(s, "\tReserved page counter of MDP group(0x82068198): 0x%08x\n", pg_flow_ctrl[18]);
3543+ seq_printf(s, "\tMDP group page status(0x8206819c): 0x%08x\n", pg_flow_ctrl[19]);
3544+ min_q = FIELD_GET(MT_DBG_PSE_PG_MDP_GROUP_MDP_MIN_QUOTA_MASK, pg_flow_ctrl[18]);
3545+ max_q = FIELD_GET(MT_DBG_PSE_PG_MDP_GROUP_MDP_MAX_QUOTA_MASK, pg_flow_ctrl[18]);
3546+ seq_printf(s, "\t\tThe max/min quota pages of MDP group=0x%03x/0x%03x\n", max_q, min_q);
3547+ rsv_pg = FIELD_GET(MT_DBG_PSE_MDP_PG_INFO_MDP_RSV_CNT_MASK, pg_flow_ctrl[19]);
3548+ used_pg = FIELD_GET(MT_DBG_PSE_MDP_PG_INFO_MDP_SRC_CNT_MASK, pg_flow_ctrl[19]);
3549+ seq_printf(s, "\t\tThe used/reserved pages of MDP group=0x%03x/0x%03x\n", used_pg, rsv_pg);
3550+
3551+ /* Queue Empty Status */
3552+ seq_printf(s, "PSE Queue Empty Status:\n");
3553+ seq_printf(s, "\tQUEUE_EMPTY(0x820680b0): 0x%08x\n", pse_stat);
3554+ seq_printf(s, "\t\tCPU Q0/1/2/3 empty=%ld/%ld/%ld/%ld\n",
3555+ FIELD_GET(MT_DBG_PSE_QUEUE_EMPTY_CPU_Q0_EMPTY_MASK, pse_stat),
3556+ FIELD_GET(MT_DBG_PSE_QUEUE_EMPTY_CPU_Q1_EMPTY_MASK, pse_stat),
3557+ FIELD_GET(MT_DBG_PSE_QUEUE_EMPTY_CPU_Q2_EMPTY_MASK, pse_stat),
3558+ FIELD_GET(MT_DBG_PSE_QUEUE_EMPTY_CPU_Q3_EMPTY_MASK, pse_stat));
3559+
3560+ seq_printf(s, "\t\tHIF Q0/1/2/3/4/5 empty=%ld/%ld/%ld/%ld/%ld/%ld\n",
3561+ FIELD_GET(MT_DBG_PSE_QUEUE_EMPTY_HIF_0_EMPTY_MASK, pse_stat),
3562+ FIELD_GET(MT_DBG_PSE_QUEUE_EMPTY_HIF_1_EMPTY_MASK, pse_stat),
3563+ FIELD_GET(MT_DBG_PSE_QUEUE_EMPTY_HIF_2_EMPTY_MASK, pse_stat),
3564+ FIELD_GET(MT_DBG_PSE_QUEUE_EMPTY_HIF_3_EMPTY_MASK, pse_stat),
3565+ FIELD_GET(MT_DBG_PSE_QUEUE_EMPTY_HIF_4_EMPTY_MASK, pse_stat),
3566+ FIELD_GET(MT_DBG_PSE_QUEUE_EMPTY_HIF_5_EMPTY_MASK, pse_stat));
3567+
3568+ seq_printf(s, "\t\tLMAC TX Q empty=%ld\n",
3569+ FIELD_GET(MT_DBG_PSE_QUEUE_EMPTY_LMAC_TX_QUEUE_EMPTY_MASK, pse_stat));
3570+ seq_printf(s, "\t\tMDP TX Q/RX Q empty=%ld/%ld\n",
3571+ FIELD_GET(MT_DBG_PSE_QUEUE_EMPTY_MDP_TX_QUEUE_EMPTY_MASK, pse_stat),
3572+ FIELD_GET(MT_DBG_PSE_QUEUE_EMPTY_MDP_RX_QUEUE_EMPTY_MASK, pse_stat));
3573+ seq_printf(s, "\t\tSEC TX Q/RX Q empty=%ld/%ld\n",
3574+ FIELD_GET(MT_DBG_PSE_QUEUE_EMPTY_SEC_TX_QUEUE_EMPTY_MASK, pse_stat),
3575+ FIELD_GET(MT_DBG_PSE_QUEUE_EMPTY_SEC_RX_QUEUE_EMPTY_SHFT, pse_stat));
3576+ seq_printf(s, "\t\tSFD PARK Q empty=%ld\n",
3577+ FIELD_GET(MT_DBG_PSE_QUEUE_EMPTY_SFD_PARK_QUEUE_EMPTY_MASK, pse_stat));
3578+ seq_printf(s, "\t\tMDP TXIOC Q/RXIOC Q empty=%ld/%ld\n",
3579+ FIELD_GET(MT_DBG_PSE_QUEUE_EMPTY_MDP_TXIOC_QUEUE_EMPTY_MASK, pse_stat),
3580+ FIELD_GET(MT_DBG_PSE_QUEUE_EMPTY_MDP_RXIOC_QUEUE_EMPTY_MASK, pse_stat));
3581+ seq_printf(s, "\t\tRLS Q empty=%ld\n",
3582+ FIELD_GET(MT_DBG_PSE_QUEUE_EMPTY_RLS_Q_EMTPY_MASK, pse_stat));
3583+ seq_printf(s, "Nonempty Q info:\n");
3584+
3585+ for (i = 0; i < 31; i++) {
3586+ if (((pse_stat & (0x1 << i)) >> i) == 0) {
3587+ u32 hfid, tfid, pktcnt, fl_que_ctrl[3] = {0};
3588+
3589+ if (pse_queue_empty_info[i].QueueName != NULL) {
3590+ seq_printf(s, "\t%s: ", pse_queue_empty_info[i].QueueName);
3591+ fl_que_ctrl[0] |= MT_DBG_PSE_FL_QUE_CTRL_0_EXECUTE_MASK;
3592+ fl_que_ctrl[0] |= (pse_queue_empty_info[i].Portid << MT_DBG_PSE_FL_QUE_CTRL_0_Q_BUF_PID_SHFT);
3593+ fl_que_ctrl[0] |= (pse_queue_empty_info[i].Queueid << MT_DBG_PSE_FL_QUE_CTRL_0_Q_BUF_QID_SHFT);
3594+ } else
3595+ continue;
3596+
3597+ fl_que_ctrl[0] |= (0x1 << 31);
3598+
3599+ mt76_wr(dev, MT_DBG_PSE_FL_QUE_CTRL_0_ADDR, fl_que_ctrl[0]);
3600+ fl_que_ctrl[1] = mt76_rr(dev, MT_DBG_PSE_FL_QUE_CTRL_2_ADDR);
3601+ fl_que_ctrl[2] = mt76_rr(dev, MT_DBG_PSE_FL_QUE_CTRL_3_ADDR);
3602+
3603+ hfid = FIELD_GET(MT_DBG_PSE_FL_QUE_CTRL_2_QUEUE_HEAD_FID_MASK, fl_que_ctrl[1]);
3604+ tfid = FIELD_GET(MT_DBG_PSE_FL_QUE_CTRL_2_QUEUE_TAIL_FID_MASK, fl_que_ctrl[1]);
3605+ pktcnt = FIELD_GET(MT_DBG_PSE_FL_QUE_CTRL_3_QUEUE_PKT_NUM_MASK, fl_que_ctrl[2]);
3606+ seq_printf(s, "tail/head fid = 0x%03x/0x%03x, pkt cnt = 0x%03x\n",
3607+ tfid, hfid, pktcnt);
3608+ }
3609+ }
3610+
3611+ return 0;
3612+}
3613+
3614+static int mt7915_mibinfo_read_per_band(struct seq_file *s, int band_idx)
3615+{
3616+#define BSS_NUM 4
3617+ struct mt7915_dev *dev = dev_get_drvdata(s->private);
3618+ u32 mac_val0, mac_val, mac_val1, idx, band_offset = 0;
3619+ u32 msdr6, msdr7, msdr8, msdr9, msdr10, msdr16, msdr17, msdr18, msdr19, msdr20, msdr21;
3620+ u32 mbxsdr[BSS_NUM][7];
3621+ u32 mbtcr[16], mbtbcr[16], mbrcr[16], mbrbcr[16];
3622+ u32 btcr[BSS_NUM], btbcr[BSS_NUM], brcr[BSS_NUM], brbcr[BSS_NUM], btdcr[BSS_NUM], brdcr[BSS_NUM];
3623+ u32 mu_cnt[5];
3624+ u32 ampdu_cnt[3];
3625+ unsigned long per;
3626+
3627+ seq_printf(s, "Band %d MIB Status\n", band_idx);
3628+ seq_printf(s, "===============================\n");
3629+ mac_val = mt76_rr(dev, MT_DBG_MIB_M0SCR0(band_idx));
3630+ seq_printf(s, "MIB Status Control=0x%x\n", mac_val);
3631+ if (is_mt7915(&dev->mt76)) {
3632+ mac_val = mt76_rr(dev, MT_DBG_MIB_M0PBSCR(band_idx));
3633+ seq_printf(s, "MIB Per-BSS Status Control=0x%x\n", mac_val);
3634+ }
3635+
3636+ msdr6 = mt76_rr(dev, MT_DBG_MIB_M0SDR6(band_idx));
3637+ msdr7 = mt76_rr(dev, MT_DBG_MIB_M0SDR7(band_idx));
3638+ msdr8 = mt76_rr(dev, MT_DBG_MIB_M0SDR8(band_idx));
3639+ msdr9 = mt76_rr(dev, MT_DBG_MIB_M0SDR9(band_idx));
3640+ msdr10 = mt76_rr(dev, MT_DBG_MIB_M0SDR10(band_idx));
3641+ msdr16 = mt76_rr(dev, MT_DBG_MIB_M0SDR16(band_idx));
3642+ msdr17 = mt76_rr(dev, MT_DBG_MIB_M0SDR17(band_idx));
3643+ msdr18 = mt76_rr(dev, MT_DBG_MIB_M0SDR18(band_idx));
3644+ msdr19 = mt76_rr(dev, MT_DBG_MIB_M0SDR19(band_idx));
3645+ msdr20 = mt76_rr(dev, MT_DBG_MIB_M0SDR20(band_idx));
3646+ msdr21 = mt76_rr(dev, MT_DBG_MIB_M0SDR21(band_idx));
3647+ ampdu_cnt[0] = mt76_rr(dev, MT_DBG_MIB_M0SDR12(band_idx));
3648+ ampdu_cnt[1] = mt76_rr(dev, MT_DBG_MIB_M0SDR14(band_idx));
3649+ ampdu_cnt[2] = mt76_rr(dev, MT_DBG_MIB_M0SDR15(band_idx));
3650+ ampdu_cnt[1] &= MT_DBG_MIB_M0SDR14_AMPDU_MASK;
3651+ ampdu_cnt[2] &= MT_DBG_MIB_M0SDR15_AMPDU_ACKED_MASK;
3652+
3653+ seq_printf(s, "===Phy/Timing Related Counters===\n");
3654+ seq_printf(s, "\tChannelIdleCnt=0x%x\n", msdr6 & BN0_WF_MIB_TOP_M0SDR6_CHANNEL_IDLE_COUNT_MASK);
3655+ seq_printf(s, "\tCCA_NAV_Tx_Time=0x%x\n", msdr9 & BN0_WF_MIB_TOP_M0SDR9_CCA_NAV_TX_TIME_MASK);
3656+ seq_printf(s, "\tRx_MDRDY_CNT=0x%lx\n", msdr10 & MT_DBG_MIB_M0SDR10_RX_MDRDY_COUNT_MASK);
3657+ seq_printf(s, "\tCCK_MDRDY_TIME=0x%x, OFDM_MDRDY_TIME=0x%x, OFDM_GREEN_MDRDY_TIME=0x%x\n",
3658+ msdr19 & BN0_WF_MIB_TOP_M0SDR19_CCK_MDRDY_TIME_MASK,
3659+ msdr20 & BN0_WF_MIB_TOP_M0SDR20_OFDM_LG_MIXED_VHT_MDRDY_TIME_MASK,
3660+ msdr21 & BN0_WF_MIB_TOP_M0SDR21_OFDM_GREEN_MDRDY_TIME_MASK);
3661+ seq_printf(s, "\tPrim CCA Time=0x%x\n", msdr16 & BN0_WF_MIB_TOP_M0SDR16_P_CCA_TIME_MASK);
3662+ seq_printf(s, "\tSec CCA Time=0x%x\n", msdr17 & BN0_WF_MIB_TOP_M0SDR17_S_CCA_TIME_MASK);
3663+ seq_printf(s, "\tPrim ED Time=0x%x\n", msdr18 & BN0_WF_MIB_TOP_M0SDR18_P_ED_TIME_MASK);
3664+
3665+ seq_printf(s, "===Tx Related Counters(Generic)===\n");
3666+ mac_val = mt76_rr(dev, MT_DBG_MIB_M0SDR0(band_idx));
3667+ dev->dbg.bcn_total_cnt[band_idx] += (mac_val & BN0_WF_MIB_TOP_M0SDR0_BEACONTXCOUNT_MASK);
3668+ seq_printf(s, "\tBeaconTxCnt=0x%x\n",dev->dbg.bcn_total_cnt[band_idx]);
3669+ dev->dbg.bcn_total_cnt[band_idx] = 0;
3670+ mac_val = mt76_rr(dev, MT_DBG_MIB_M0DR0(band_idx));
3671+ seq_printf(s, "\tTx 20MHz Cnt=0x%x\n", mac_val & BN0_WF_MIB_TOP_M0DR0_TX_20MHZ_CNT_MASK);
3672+ seq_printf(s, "\tTx 40MHz Cnt=0x%x\n", (mac_val & BN0_WF_MIB_TOP_M0DR0_TX_40MHZ_CNT_MASK) >> BN0_WF_MIB_TOP_M0DR0_TX_40MHZ_CNT_SHFT);
3673+ mac_val = mt76_rr(dev, MT_DBG_MIB_M0DR1(band_idx));
3674+ seq_printf(s, "\tTx 80MHz Cnt=0x%x\n", mac_val & BN0_WF_MIB_TOP_M0DR1_TX_80MHZ_CNT_MASK);
3675+ seq_printf(s, "\tTx 160MHz Cnt=0x%x\n", (mac_val & BN0_WF_MIB_TOP_M0DR1_TX_160MHZ_CNT_MASK) >> BN0_WF_MIB_TOP_M0DR1_TX_160MHZ_CNT_SHFT);
3676+ seq_printf(s, "\tAMPDU Cnt=0x%x\n", ampdu_cnt[0]);
3677+ seq_printf(s, "\tAMPDU MPDU Cnt=0x%x\n", ampdu_cnt[1]);
3678+ seq_printf(s, "\tAMPDU MPDU Ack Cnt=0x%x\n", ampdu_cnt[2]);
3679+ per = (ampdu_cnt[2] == 0 ? 0 : 1000 * (ampdu_cnt[1] - ampdu_cnt[2]) / ampdu_cnt[1]);
3680+ seq_printf(s, "\tAMPDU MPDU PER=%ld.%1ld%%\n", per / 10, per % 10);
3681+
3682+ seq_printf(s, "===MU Related Counters===\n");
3683+ mu_cnt[0] = mt76_rr(dev, MT_DBG_MIB_MUBF(band_idx));
3684+ mu_cnt[1] = mt76_rr(dev, MT_DBG_MIB_M0DR8(band_idx));
3685+ mu_cnt[2] = mt76_rr(dev, MT_DBG_MIB_M0DR9(band_idx));
3686+ mu_cnt[3] = mt76_rr(dev, MT_DBG_MIB_M0DR10(band_idx));
3687+ mu_cnt[4] = mt76_rr(dev, MT_DBG_MIB_M0DR11(band_idx));
3688+ seq_printf(s, "\tMUBF_TX_COUNT=0x%x\n", mu_cnt[0] & BN0_WF_MIB_TOP_M0SDR34_MUBF_TX_COUNT_MASK);
3689+ seq_printf(s, "\tMU_TX_MPDU_COUNT(Ok+Fail)=0x%x\n", mu_cnt[1]);
3690+ seq_printf(s, "\tMU_TX_OK_MPDU_COUNT=0x%x\n", mu_cnt[2]);
3691+ seq_printf(s, "\tMU_TO_SU_PPDU_COUNT=0x%x\n", mu_cnt[3] & BN0_WF_MIB_TOP_M0DR10_MU_FAIL_PPDU_CNT_MASK);
3692+ seq_printf(s, "\tSU_TX_OK_MPDU_COUNT=0x%x\n", mu_cnt[4]);
3693+
3694+ seq_printf(s, "===Rx Related Counters(Generic)===\n");
3695+ seq_printf(s, "\tVector Mismacth Cnt=0x%x\n", msdr7 & BN0_WF_MIB_TOP_M0SDR7_VEC_MISS_COUNT_MASK);
3696+ seq_printf(s, "\tDelimiter Fail Cnt=0x%x\n", msdr8 & BN0_WF_MIB_TOP_M0SDR8_DELIMITER_FAIL_COUNT_MASK);
3697+
3698+ mac_val = mt76_rr(dev, MT_DBG_MIB_M0SDR3(band_idx));
3699+ seq_printf(s, "\tRxFCSErrCnt=0x%lx\n", __DBG_FIELD_GET(DBG_MIB_RX_FCS_ERROR_COUNT, mac_val));
3700+ mac_val = mt76_rr(dev, MT_DBG_MIB_M0SDR4(band_idx));
3701+ seq_printf(s, "\tRxFifoFullCnt=0x%x\n", (mac_val & BN0_WF_MIB_TOP_M0SDR4_RX_FIFO_FULL_COUNT_MASK));
3702+ mac_val = mt76_rr(dev, MT_DBG_MIB_M0SDR11(band_idx));
3703+ seq_printf(s, "\tRxLenMismatch=0x%x\n", (mac_val & BN0_WF_MIB_TOP_M0SDR11_RX_LEN_MISMATCH_MASK));
3704+ mac_val = mt76_rr(dev, MT_DBG_MIB_M0SDR5(band_idx));
3705+ seq_printf(s, "\tRxMPDUCnt=0x%x\n", (mac_val & BN0_WF_MIB_TOP_M0SDR5_RX_MPDU_COUNT_MASK));
3706+ mac_val = mt76_rr(dev, MT_DBG_MIB_M0SDR22(band_idx));
3707+ seq_printf(s, "\tRx AMPDU Cnt=0x%x\n", mac_val);
3708+ /* TODO: shiang-MT7615, is MIB_M0SDR23 used for Rx total byte count for all or just AMPDU only??? */
3709+ mac_val = mt76_rr(dev, MT_DBG_MIB_M0SDR23(band_idx));
3710+ seq_printf(s, "\tRx Total ByteCnt=0x%x\n", mac_val);
3711+
3712+ if (is_mt7915(&dev->mt76)) {
3713+ band_offset = WF_WTBLON_TOP_B1BTCRn_ADDR - WF_WTBLON_TOP_B0BTCRn_ADDR;//check
3714+ seq_printf(s, "===Per-BSS Related Tx/Rx Counters===\n");
3715+ seq_printf(s, "BSS Idx TxCnt/DataCnt TxByteCnt RxCnt/DataCnt RxByteCnt\n");
3716+
3717+ for (idx = 0; idx < BSS_NUM; idx++) {
3718+ btcr[idx] = mt76_rr(dev, WF_WTBLON_TOP_B0BTCRn_ADDR + band_offset + idx * 4);
3719+ btbcr[idx] = mt76_rr(dev, WF_WTBLON_TOP_B0BTBCRn_ADDR + band_offset + idx * 4);
3720+ brcr[idx] = mt76_rr(dev, WF_WTBLON_TOP_B0BRCRn_ADDR + band_offset + idx * 4);
3721+ brbcr[idx] = mt76_rr(dev, WF_WTBLON_TOP_B0BRBCRn_ADDR + band_offset + idx * 4);
3722+ btdcr[idx] = mt76_rr(dev, WF_WTBLON_TOP_B0BTDCRn_ADDR + band_offset + idx * 4);
3723+ brdcr[idx] = mt76_rr(dev, WF_WTBLON_TOP_B0BRDCRn_ADDR + band_offset + idx * 4);
3724+ }
3725+
3726+ for (idx = 0; idx < BSS_NUM; idx++) {
3727+ seq_printf(s, "%d\t 0x%x/0x%x\t 0x%x \t 0x%x/0x%x \t 0x%x\n",
3728+ idx, btcr[idx], btdcr[idx], btbcr[idx],
3729+ brcr[idx], brdcr[idx], brbcr[idx]);
3730+ }
3731+
3732+ band_offset = (BN1_WF_MIB_TOP_BASE - BN0_WF_MIB_TOP_BASE) * band_idx;
3733+ seq_printf(s, "===Per-MBSS Related MIB Counters===\n");
3734+ seq_printf(s, "BSS Idx RTSTx/RetryCnt BAMissCnt AckFailCnt FrmRetry1/2/3Cnt\n");
3735+
3736+ for (idx = 0; idx < BSS_NUM; idx++) {
3737+ mbxsdr[idx][0] = mt76_rr(dev, BN0_WF_MIB_TOP_M0B0SDR0_ADDR + band_offset + idx * 0x10);
3738+ mbxsdr[idx][1] = mt76_rr(dev, BN0_WF_MIB_TOP_M0B0SDR1_ADDR + band_offset + idx * 0x10);
3739+ mbxsdr[idx][2] = mt76_rr(dev, BN0_WF_MIB_TOP_M0B0SDR2_ADDR + band_offset + idx * 0x10);
3740+ mbxsdr[idx][3] = mt76_rr(dev, BN0_WF_MIB_TOP_M0B0SDR3_ADDR + band_offset + idx * 0x10);
3741+ }
3742+
3743+ for (idx = 0; idx < BSS_NUM; idx++) {
3744+ seq_printf(s, "%d:\t0x%08x/0x%08x 0x%08x \t 0x%08x \t 0x%08x/0x%08x/0x%08x\n",
3745+ idx, (mbxsdr[idx][0] & BN0_WF_MIB_TOP_M0B0SDR0_RTSTXCOUNT_MASK),
3746+ (mbxsdr[idx][0] & BN0_WF_MIB_TOP_M0B0SDR0_RTSRETRYCOUNT_MASK) >> BN0_WF_MIB_TOP_M0B0SDR0_RTSRETRYCOUNT_SHFT,
3747+ (mbxsdr[idx][1] & BN0_WF_MIB_TOP_M0B0SDR1_BAMISSCOUNT_MASK),
3748+ (mbxsdr[idx][1] & BN0_WF_MIB_TOP_M0B0SDR1_ACKFAILCOUNT_MASK) >> BN0_WF_MIB_TOP_M0B0SDR1_ACKFAILCOUNT_SHFT,
3749+ (mbxsdr[idx][2] & BN0_WF_MIB_TOP_M0B0SDR2_FRAMERETRYCOUNT_MASK),
3750+ (mbxsdr[idx][2] & BN0_WF_MIB_TOP_M0B0SDR2_FRAMERETRY2COUNT_MASK) >> BN0_WF_MIB_TOP_M0B0SDR2_FRAMERETRY2COUNT_SHFT,
3751+ (mbxsdr[idx][3] & BN0_WF_MIB_TOP_M0B0SDR3_FRAMERETRY3COUNT_MASK));
3752+ }
3753+
3754+ band_offset = WF_WTBLON_TOP_B1BTCRn_ADDR - WF_WTBLON_TOP_B0BTCRn_ADDR;
3755+ seq_printf(s, "===Per-MBSS Related Tx/Rx Counters===\n");
3756+ seq_printf(s, "MBSSIdx TxCnt TxByteCnt RxCnt RxByteCnt\n");
3757+
3758+ for (idx = 0; idx < 16; idx++) {
3759+ mbtcr[idx] = mt76_rr(dev, WF_WTBLON_TOP_B0MBTCRn_ADDR + band_offset + idx * 4);
3760+ mbtbcr[idx] = mt76_rr(dev, WF_WTBLON_TOP_B0MBTBCRn_ADDR + band_offset + idx * 4);
3761+ mbrcr[idx] = mt76_rr(dev, WF_WTBLON_TOP_B0MBRCRn_ADDR + band_offset + idx * 4);
3762+ mbrbcr[idx] = mt76_rr(dev, WF_WTBLON_TOP_B0MBRBCRn_ADDR + band_offset + idx * 4);
3763+ }
3764+
3765+ for (idx = 0; idx < 16; idx++) {
3766+ seq_printf(s, "%d\t 0x%08x\t 0x%08x \t 0x%08x \t 0x%08x\n",
3767+ idx, mbtcr[idx], mbtbcr[idx], mbrcr[idx], mbrbcr[idx]);
3768+ }
3769+ return 0;
3770+ } else {
3771+ u32 btocr[BSS_NUM], mbtocr[16],mbrocr[16], brocr[BSS_NUM];
3772+ u8 bss_nums = BSS_NUM;
3773+
3774+ band_offset = (BN1_WF_MIB_TOP_BTOCR_ADDR - BN0_WF_MIB_TOP_BTOCR_ADDR) * band_idx;
3775+ seq_printf(s, "===Per-BSS Related Tx/Rx Counters===\n");
3776+ seq_printf(s, "BSS Idx TxCnt/DataCnt TxByteCnt RxCnt/DataCnt RxByteCnt\n");
3777+
3778+ for (idx = 0; idx < BSS_NUM; idx++) {
3779+ btocr[idx] = mt76_rr(dev, (BN0_WF_MIB_TOP_BTOCR_ADDR + band_offset + (idx >> 1) * 4));
3780+ btdcr[idx] = mt76_rr(dev, (BN0_WF_MIB_TOP_BTDCR_ADDR + band_offset + (idx >> 1) * 4));
3781+ btbcr[idx] = mt76_rr(dev, (BN0_WF_MIB_TOP_BTBCR_ADDR + band_offset + (idx * 4)));
3782+ brocr[idx] = mt76_rr(dev, (BN0_WF_MIB_TOP_BROCR_ADDR + band_offset + (idx >> 1) * 4));
3783+ brdcr[idx] = mt76_rr(dev, (BN0_WF_MIB_TOP_BRDCR_ADDR + band_offset + (idx >> 1) * 4));
3784+ brbcr[idx] = mt76_rr(dev, (BN0_WF_MIB_TOP_BRBCR_ADDR + band_offset + (idx * 4)));
3785+
3786+ if ((idx % 2) == 0) {
3787+ btocr[idx] = ((btocr[idx] & BN0_WF_MIB_TOP_BTOCR_TX_OK_COUNT2n_MASK) >> BN0_WF_MIB_TOP_BTOCR_TX_OK_COUNT2n_SHFT);
3788+ btdcr[idx] = ((btdcr[idx] & BN0_WF_MIB_TOP_BTDCR_TX_DATA_COUNT2n_MASK) >> BN0_WF_MIB_TOP_BTDCR_TX_DATA_COUNT2n_SHFT);
3789+ brocr[idx] = ((brocr[idx] & BN0_WF_MIB_TOP_BROCR_RX_OK_COUNT2n_MASK) >> BN0_WF_MIB_TOP_BROCR_RX_OK_COUNT2n_SHFT);
3790+ brdcr[idx] = ((brdcr[idx] & BN0_WF_MIB_TOP_BRDCR_RX_DATA_COUNT2n_MASK) >> BN0_WF_MIB_TOP_BRDCR_RX_DATA_COUNT2n_SHFT);
3791+ } else {
3792+ btocr[idx] = ((btocr[idx] & BN0_WF_MIB_TOP_BTOCR_TX_OK_COUNT2np1_MASK) >> BN0_WF_MIB_TOP_BTOCR_TX_OK_COUNT2np1_SHFT);
3793+ btdcr[idx] = ((btdcr[idx] & BN0_WF_MIB_TOP_BTDCR_TX_DATA_COUNT2np1_MASK) >> BN0_WF_MIB_TOP_BTDCR_TX_DATA_COUNT2np1_SHFT);
3794+ brocr[idx] = ((brocr[idx] & BN0_WF_MIB_TOP_BROCR_RX_OK_COUNT2np1_MASK) >> BN0_WF_MIB_TOP_BROCR_RX_OK_COUNT2np1_SHFT);
3795+ brdcr[idx] = ((brdcr[idx] & BN0_WF_MIB_TOP_BRDCR_RX_DATA_COUNT2np1_MASK) >> BN0_WF_MIB_TOP_BRDCR_RX_DATA_COUNT2np1_SHFT);
3796+ }
3797+ }
3798+
3799+ for (idx = 0; idx < BSS_NUM; idx++) {
3800+ seq_printf(s, "%d\t 0x%x/0x%x\t 0x%x \t 0x%x/0x%x \t 0x%x\n",
3801+ idx, btocr[idx], btdcr[idx], btbcr[idx], brocr[idx], brdcr[idx], brbcr[idx]);
3802+ }
3803+
3804+ band_offset = (BN1_WF_MIB_TOP_BASE - BN0_WF_MIB_TOP_BASE) * band_idx;
3805+ seq_printf(s, "===Per-MBSS Related MIB Counters===\n");
3806+ seq_printf(s, "BSS Idx RTSTx/RetryCnt BAMissCnt AckFailCnt FrmRetry1/2/3Cnt\n");
3807+
3808+ for (idx = 0; idx < BSS_NUM; idx++) {
3809+ mbxsdr[idx][0] = mt76_rr(dev, BN0_WF_MIB_TOP_BSDR0_ADDR + band_offset + ((idx >> 1) * 4));
3810+ mbxsdr[idx][1] = mt76_rr(dev, BN0_WF_MIB_TOP_BSDR1_ADDR + band_offset + ((idx >> 1) * 4));
3811+ mbxsdr[idx][2] = mt76_rr(dev, BN0_WF_MIB_TOP_BSDR2_ADDR + band_offset + ((idx >> 1) * 4));
3812+ mbxsdr[idx][3] = mt76_rr(dev, BN0_WF_MIB_TOP_BSDR3_ADDR + band_offset + ((idx >> 1) * 4));
3813+ mbxsdr[idx][4] = mt76_rr(dev, BN0_WF_MIB_TOP_BSDR4_ADDR + band_offset + ((idx >> 1) * 4));
3814+ mbxsdr[idx][5] = mt76_rr(dev, BN0_WF_MIB_TOP_BSDR5_ADDR + band_offset + ((idx >> 1) * 4));
3815+ mbxsdr[idx][6] = mt76_rr(dev, BN0_WF_MIB_TOP_BSDR6_ADDR + band_offset + ((idx >> 1) * 4));
3816+
3817+ if ((idx % 2) == 0) {
3818+ mbxsdr[idx][0] = ((mbxsdr[idx][0] & BN0_WF_MIB_TOP_BSDR0_RTSTXCOUNT2n_MASK) >> BN0_WF_MIB_TOP_BSDR0_RTSTXCOUNT2n_SHFT);
3819+ mbxsdr[idx][1] = ((mbxsdr[idx][1] & BN0_WF_MIB_TOP_BSDR1_RTSRETRYCOUNT2n_MASK) >> BN0_WF_MIB_TOP_BSDR1_RTSRETRYCOUNT2n_SHFT);
3820+ mbxsdr[idx][2] = ((mbxsdr[idx][2] & BN0_WF_MIB_TOP_BSDR2_BAMISSCOUNT2n_MASK) >> BN0_WF_MIB_TOP_BSDR2_BAMISSCOUNT2n_SHFT);
3821+ mbxsdr[idx][3] = ((mbxsdr[idx][3] & BN0_WF_MIB_TOP_BSDR3_ACKFAILCOUNT2n_MASK) >> BN0_WF_MIB_TOP_BSDR3_ACKFAILCOUNT2n_SHFT);
3822+ mbxsdr[idx][4] = ((mbxsdr[idx][4] & BN0_WF_MIB_TOP_BSDR4_FRAMERETRYCOUNT2n_MASK) >> BN0_WF_MIB_TOP_BSDR4_FRAMERETRYCOUNT2n_SHFT);
3823+ mbxsdr[idx][5] = ((mbxsdr[idx][5] & BN0_WF_MIB_TOP_BSDR5_FRAMERETRY2COUNT2n_MASK) >> BN0_WF_MIB_TOP_BSDR5_FRAMERETRY2COUNT2n_SHFT);
3824+ mbxsdr[idx][6] = ((mbxsdr[idx][6] & BN0_WF_MIB_TOP_BSDR6_FRAMERETRY3COUNT2n_MASK) >> BN0_WF_MIB_TOP_BSDR6_FRAMERETRY3COUNT2n_SHFT);
3825+ } else {
3826+ mbxsdr[idx][0] = ((mbxsdr[idx][0] & BN0_WF_MIB_TOP_BSDR0_RTSTXCOUNT2np1_MASK) >> BN0_WF_MIB_TOP_BSDR0_RTSTXCOUNT2np1_SHFT);
3827+ mbxsdr[idx][1] = ((mbxsdr[idx][1] & BN0_WF_MIB_TOP_BSDR1_RTSRETRYCOUNT2np1_MASK) >> BN0_WF_MIB_TOP_BSDR1_RTSRETRYCOUNT2np1_SHFT);
3828+ mbxsdr[idx][2] = ((mbxsdr[idx][2] & BN0_WF_MIB_TOP_BSDR2_BAMISSCOUNT2np1_MASK) >> BN0_WF_MIB_TOP_BSDR2_BAMISSCOUNT2np1_SHFT);
3829+ mbxsdr[idx][3] = ((mbxsdr[idx][3] & BN0_WF_MIB_TOP_BSDR3_ACKFAILCOUNT2np1_MASK) >> BN0_WF_MIB_TOP_BSDR3_ACKFAILCOUNT2np1_SHFT);
3830+ mbxsdr[idx][4] = ((mbxsdr[idx][4] & BN0_WF_MIB_TOP_BSDR4_FRAMERETRYCOUNT2np1_MASK) >> BN0_WF_MIB_TOP_BSDR4_FRAMERETRYCOUNT2np1_SHFT);
3831+ mbxsdr[idx][5] = ((mbxsdr[idx][5] & BN0_WF_MIB_TOP_BSDR5_FRAMERETRY2COUNT2np1_MASK) >> BN0_WF_MIB_TOP_BSDR5_FRAMERETRY2COUNT2np1_SHFT);
3832+ mbxsdr[idx][6] = ((mbxsdr[idx][6] & BN0_WF_MIB_TOP_BSDR6_FRAMERETRY3COUNT2np1_MASK) >> BN0_WF_MIB_TOP_BSDR6_FRAMERETRY3COUNT2np1_SHFT);
3833+ }
3834+ }
3835+
3836+ for (idx = 0; idx < BSS_NUM; idx++) {
3837+ seq_printf(s, "%d:\t0x%x/0x%x 0x%x \t 0x%x \t 0x%x/0x%x/0x%x\n",
3838+ idx,
3839+ mbxsdr[idx][0], mbxsdr[idx][1], mbxsdr[idx][2], mbxsdr[idx][3],
3840+ mbxsdr[idx][4], mbxsdr[idx][5], mbxsdr[idx][6]);
3841+ }
3842+
3843+ band_offset = (BN1_WF_MIB_TOP_BTOCR_ADDR - BN0_WF_MIB_TOP_BTOCR_ADDR) * band_idx;
3844+ seq_printf(s, "===Per-MBSS Related Tx/Rx Counters===\n");
3845+ seq_printf(s, "MBSSIdx TxCnt TxByteCnt RxCnt RxByteCnt\n");
3846+
3847+ for (idx = 0; idx < 16; idx++) {
3848+ mbtocr[idx] = mt76_rr(dev, BN0_WF_MIB_TOP_BTOCR_ADDR + band_offset + (((bss_nums >> 1) * 4) + ((idx >> 1) * 4)));
3849+ mbtbcr[idx] = mt76_rr(dev, BN0_WF_MIB_TOP_BTBCR_ADDR + band_offset + (((bss_nums >> 1) * 4) + (idx * 4)));
3850+ mbrocr[idx] = mt76_rr(dev, BN0_WF_MIB_TOP_BROCR_ADDR + band_offset + (((bss_nums >> 1) * 4) + ((idx >> 1) * 4)));
3851+ mbrbcr[idx] = mt76_rr(dev, BN0_WF_MIB_TOP_BRBCR_ADDR + band_offset + (((bss_nums >> 1) * 4) + (idx * 4)));
3852+
3853+ if ((idx % 2) == 0) {
3854+ mbtocr[idx] = ((mbtocr[idx] & BN0_WF_MIB_TOP_BTOCR_TX_OK_COUNT2n_MASK) >> BN0_WF_MIB_TOP_BTOCR_TX_OK_COUNT2n_SHFT);
3855+ mbrocr[idx] = ((mbrocr[idx] & BN0_WF_MIB_TOP_BROCR_RX_OK_COUNT2n_MASK) >> BN0_WF_MIB_TOP_BROCR_RX_OK_COUNT2n_SHFT);
3856+ } else {
3857+ mbtocr[idx] = ((mbtocr[idx] & BN0_WF_MIB_TOP_BTOCR_TX_OK_COUNT2np1_MASK) >> BN0_WF_MIB_TOP_BTOCR_TX_OK_COUNT2np1_SHFT);
3858+ mbrocr[idx] = ((mbrocr[idx] & BN0_WF_MIB_TOP_BROCR_RX_OK_COUNT2np1_MASK) >> BN0_WF_MIB_TOP_BROCR_RX_OK_COUNT2np1_SHFT);
3859+ }
3860+ }
3861+
3862+ for (idx = 0; idx < 16; idx++) {
3863+ seq_printf(s, "%d\t 0x%08x\t 0x%08x \t 0x%08x \t 0x%08x\n",
3864+ idx, mbtocr[idx], mbtbcr[idx], mbrocr[idx], mbrbcr[idx]);
3865+ }
3866+ }
3867+
3868+ seq_printf(s, "===Dummy delimiter insertion result===\n");
3869+ mac_val0 = mt76_rr(dev, MT_DBG_MIB_M0DR11(band_idx));
3870+ mac_val = mt76_rr(dev, MT_DBG_MIB_M0DR6(band_idx));
3871+ mac_val1 = mt76_rr(dev, MT_DBG_MIB_M0DR7(band_idx));
3872+ seq_printf(s, "Range0 = %d\t Range1 = %d\t Range2 = %d\t Range3 = %d\t Range4 = %d\n",
3873+ (mac_val0 & BN0_WF_MIB_TOP_M0DR12_TX_DDLMT_RNG0_CNT_MASK),
3874+ (mac_val & BN0_WF_MIB_TOP_M0DR6_TX_DDLMT_RNG1_CNT_MASK),
3875+ (mac_val & BN0_WF_MIB_TOP_M0DR6_TX_DDLMT_RNG2_CNT_MASK) >> BN0_WF_MIB_TOP_M0DR6_TX_DDLMT_RNG2_CNT_SHFT,
3876+ (mac_val1 & BN0_WF_MIB_TOP_M0DR7_TX_DDLMT_RNG3_CNT_MASK),
3877+ (mac_val1 & BN0_WF_MIB_TOP_M0DR7_TX_DDLMT_RNG4_CNT_MASK) >> BN0_WF_MIB_TOP_M0DR7_TX_DDLMT_RNG4_CNT_SHFT);
3878+
3879+ return 0;
3880+}
3881+
3882+static int mt7915_mibinfo_band0(struct seq_file *s, void *data)
3883+{
3884+ mt7915_mibinfo_read_per_band(s, 0);
3885+ return 0;
3886+}
3887+
3888+static int mt7915_mibinfo_band1(struct seq_file *s, void *data)
3889+{
3890+ mt7915_mibinfo_read_per_band(s, 1);
3891+ return 0;
3892+}
3893+
3894+static int mt7915_token_read(struct seq_file *s, void *data)
3895+{
3896+ struct mt7915_dev *dev = dev_get_drvdata(s->private);
3897+ int id, count = 0;
3898+ struct mt76_txwi_cache *txwi;
3899+
3900+ seq_printf(s, "Cut through token:\n");
3901+ spin_lock_bh(&dev->mt76.token_lock);
3902+ idr_for_each_entry(&dev->mt76.token, txwi, id) {
3903+ seq_printf(s, "%4d ", id);
3904+ count++;
3905+ if (count % 8 == 0)
3906+ seq_printf(s, "\n");
3907+ }
3908+ spin_unlock_bh(&dev->mt76.token_lock);
3909+ seq_printf(s, "\n");
3910+
3911+ return 0;
3912+}
3913+
3914+struct txd_l {
3915+ u32 txd_0;
3916+ u32 txd_1;
3917+ u32 txd_2;
3918+ u32 txd_3;
3919+ u32 txd_4;
3920+ u32 txd_5;
3921+ u32 txd_6;
3922+ u32 txd_7;
3923+} __packed;
3924+
3925+char *pkt_ft_str[] = {"cut_through", "store_forward", "cmd", "PDA_FW_Download"};
3926+char *hdr_fmt_str[] = {
3927+ "Non-80211-Frame",
3928+ "Command-Frame",
3929+ "Normal-80211-Frame",
3930+ "enhanced-80211-Frame",
3931+};
3932+/* TMAC_TXD_1.hdr_format */
3933+#define TMI_HDR_FT_NON_80211 0x0
3934+#define TMI_HDR_FT_CMD 0x1
3935+#define TMI_HDR_FT_NOR_80211 0x2
3936+#define TMI_HDR_FT_ENH_80211 0x3
3937+
3938+void mt7915_dump_tmac_info(u8 *tmac_info)
3939+{
3940+ struct txd_l *txd = (struct txd_l *)tmac_info;
3941+
3942+ printk("txd raw data: size=%d\n", MT_TXD_SIZE);
3943+ print_hex_dump(KERN_ERR , "", DUMP_PREFIX_OFFSET, 16, 1, tmac_info, MT_TXD_SIZE, false);
3944+
3945+ printk("TMAC_TXD Fields:\n");
3946+ printk("\tTMAC_TXD_0:\n");
3947+
3948+ /* DW0 */
3949+ /* TX Byte Count [15:0] */
3950+ printk("\t\tTxByteCnt = %ld\n", FIELD_GET(MT_TXD0_TX_BYTES, txd->txd_0));
3951+
3952+ /* PKT_FT: Packet Format [24:23] */
3953+ printk("\t\tpkt_ft = %ld(%s)\n",
3954+ FIELD_GET(MT_TXD0_PKT_FMT, txd->txd_0),
3955+ pkt_ft_str[FIELD_GET(MT_TXD0_PKT_FMT, txd->txd_0)]);
3956+
3957+ /* Q_IDX [31:25] */
3958+ printk("\t\tQueID =0x%lx\n", FIELD_GET(MT_TXD0_Q_IDX, txd->txd_0));
3959+
3960+ printk("\tTMAC_TXD_1:\n");
3961+
3962+ /* DW1 */
3963+ /* WLAN Indec [9:0] */
3964+ printk("\t\tWlan Index = %ld\n", FIELD_GET(MT_TXD1_WLAN_IDX, txd->txd_1));
3965+
3966+ /* VTA [10] */
3967+ printk("\t\tVTA = %d\n", ((txd->txd_1 & MT_TXD1_VTA) ? 1 : 0));
3968+
3969+ /* HF: Header Format [17:16] */
3970+ printk("\t\tHdrFmt = %ld(%s)\n",
3971+ FIELD_GET(MT_TXD1_HDR_FORMAT, txd->txd_1),
3972+ FIELD_GET(MT_TXD1_HDR_FORMAT, txd->txd_1) < 4 ?
3973+ hdr_fmt_str[FIELD_GET(MT_TXD1_HDR_FORMAT, txd->txd_1)] : "N/A");
3974+
3975+ switch (FIELD_GET(MT_TXD1_HDR_FORMAT, txd->txd_1)) {
3976+ case TMI_HDR_FT_NON_80211:
3977+ /* MRD [11], EOSP [12], RMVL [13], VLAN [14], ETYPE [15] */
3978+ printk("\t\t\tMRD = %d, EOSP = %d,\
3979+ RMVL = %d, VLAN = %d, ETYP = %d\n",
3980+ (txd->txd_1 & MT_TXD1_MRD) ? 1 : 0,
3981+ (txd->txd_1 & MT_TXD1_EOSP) ? 1 : 0,
3982+ (txd->txd_1 & MT_TXD1_RMVL) ? 1 : 0,
3983+ (txd->txd_1 & MT_TXD1_VLAN) ? 1 : 0,
3984+ (txd->txd_1 & MT_TXD1_ETYP) ? 1 : 0);
3985+ break;
3986+ case TMI_HDR_FT_NOR_80211:
3987+ /* HEADER_LENGTH [15:11] */
3988+ printk("\t\t\tHeader Len = %ld(WORD)\n", FIELD_GET(MT_TXD1_HDR_INFO, txd->txd_1));
3989+ break;
3990+
3991+ case TMI_HDR_FT_ENH_80211:
3992+ /* EOSP [12], AMS [13] */
3993+ printk("\t\t\tEOSP = %d, AMS = %d\n",
3994+ (txd->txd_1 & MT_TXD1_EOSP) ? 1 : 0,
3995+ (txd->txd_1 & MT_TXD1_AMS) ? 1 : 0);
3996+ break;
3997+ }
3998+
3999+ /* Header Padding [19:18] */
4000+ printk("\t\tHdrPad = %ld\n", FIELD_GET(MT_TXD1_HDR_PAD, txd->txd_1));
4001+
4002+ /* TID [22:20] */
4003+ printk("\t\tTID = %ld\n", FIELD_GET(MT_TXD1_TID, txd->txd_1));
4004+
4005+
4006+ /* UtxB/AMSDU_C/AMSDU [23] */
4007+ printk("\t\tamsdu = %d\n", ((txd->txd_1 & MT_TXD1_AMSDU) ? 1 : 0));
4008+
4009+ /* OM [29:24] */
4010+ printk("\t\town_mac = %ld\n", FIELD_GET(MT_TXD1_OWN_MAC, txd->txd_1));
4011+
4012+
4013+ /* TGID [30] */
4014+ printk("\t\tTGID = %d\n", ((txd->txd_1 & MT_TXD1_TGID) ? 1 : 0));
4015+
4016+
4017+ /* FT [31] */
4018+ printk("\t\tTxDFormatType = %d\n", (txd->txd_1 & MT_TXD1_LONG_FORMAT) ? 1 : 0);
4019+
4020+ printk("\tTMAC_TXD_2:\n");
4021+ /* DW2 */
4022+ /* Subtype [3:0] */
4023+ printk("\t\tsub_type = %ld\n", FIELD_GET(MT_TXD2_SUB_TYPE, txd->txd_2));
4024+
4025+ /* Type[5:4] */
4026+ printk("\t\tfrm_type = %ld\n", FIELD_GET(MT_TXD2_FRAME_TYPE, txd->txd_2));
4027+
4028+ /* NDP [6] */
4029+ printk("\t\tNDP = %d\n", ((txd->txd_2 & MT_TXD2_NDP) ? 1 : 0));
4030+
4031+ /* NDPA [7] */
4032+ printk("\t\tNDPA = %d\n", ((txd->txd_2 & MT_TXD2_NDPA) ? 1 : 0));
4033+
4034+ /* SD [8] */
4035+ printk("\t\tSounding = %d\n", ((txd->txd_2 & MT_TXD2_SOUNDING) ? 1 : 0));
4036+
4037+ /* RTS [9] */
4038+ printk("\t\tRTS = %d\n", ((txd->txd_2 & MT_TXD2_RTS) ? 1 : 0));
4039+
4040+ /* BM [10] */
4041+ printk("\t\tbc_mc_pkt = %d\n", ((txd->txd_2 & MT_TXD2_MULTICAST) ? 1 : 0));
4042+
4043+ /* B [11] */
4044+ printk("\t\tBIP = %d\n", ((txd->txd_2 & MT_TXD2_BIP) ? 1 : 0));
4045+
4046+ /* DU [12] */
4047+ printk("\t\tDuration = %d\n", ((txd->txd_2 & MT_TXD2_DURATION) ? 1 : 0));
4048+
4049+ /* HE [13] */
4050+ printk("\t\tHE(HTC Exist) = %d\n", ((txd->txd_2 & MT_TXD2_HTC_VLD) ? 1 : 0));
4051+
4052+ /* FRAG [15:14] */
4053+ printk("\t\tFRAG = %ld\n", FIELD_GET(MT_TXD2_FRAG, txd->txd_2));
4054+
4055+
4056+ /* Remaining Life Time [23:16]*/
4057+ printk("\t\tReamingLife/MaxTx time = %ld (unit: 64TU)\n",
4058+ FIELD_GET(MT_TXD2_MAX_TX_TIME, txd->txd_2));
4059+
4060+ /* Power Offset [29:24] */
4061+ printk("\t\tpwr_offset = %ld\n", FIELD_GET(MT_TXD2_POWER_OFFSET, txd->txd_2));
4062+
4063+ /* FRM [30] */
4064+ printk("\t\tfix rate mode = %d\n", (txd->txd_2 & MT_TXD2_FIXED_RATE) ? 1 : 0);
4065+
4066+ /* FR[31] */
4067+ printk("\t\tfix rate = %d\n", (txd->txd_2 & MT_TXD2_FIX_RATE) ? 1 : 0);
4068+
4069+
4070+ printk("\tTMAC_TXD_3:\n");
4071+
4072+ /* DW3 */
4073+ /* NA [0] */
4074+ printk("\t\tNoAck = %d\n", (txd->txd_3 & MT_TXD3_NO_ACK) ? 1 : 0);
4075+
4076+ /* PF [1] */
4077+ printk("\t\tPF = %d\n", (txd->txd_3 & MT_TXD3_PROTECT_FRAME) ? 1 : 0);
4078+
4079+ /* EMRD [2] */
4080+ printk("\t\tEMRD = %d\n", (txd->txd_3 & MT_TXD3_EMRD) ? 1 : 0);
4081+
4082+ /* EEOSP [3] */
4083+ printk("\t\tEEOSP = %d\n", (txd->txd_3 & MT_TXD3_EEOSP) ? 1 : 0);
4084+
4085+ /* DAS [4] */
4086+ printk("\t\tda_select = %d\n", (txd->txd_3 & MT_TXD3_DAS) ? 1 : 0);
4087+
4088+ /* TM [5] */
4089+ printk("\t\ttm = %d\n", (txd->txd_3 & MT_TXD3_TIMING_MEASURE) ? 1 : 0);
4090+
4091+ /* TX Count [10:6] */
4092+ printk("\t\ttx_cnt = %ld\n", FIELD_GET(MT_TXD3_TX_COUNT, txd->txd_3));
4093+
4094+ /* Remaining TX Count [15:11] */
4095+ printk("\t\tremain_tx_cnt = %ld\n", FIELD_GET(MT_TXD3_REM_TX_COUNT, txd->txd_3));
4096+
4097+ /* SN [27:16] */
4098+ printk("\t\tsn = %ld\n", FIELD_GET(MT_TXD3_SEQ, txd->txd_3));
4099+
4100+ /* BA_DIS [28] */
4101+ printk("\t\tba dis = %d\n", (txd->txd_3 & MT_TXD3_BA_DISABLE) ? 1 : 0);
4102+
4103+ /* Power Management [29] */
4104+ printk("\t\tpwr_mgmt = 0x%x\n", (txd->txd_3 & MT_TXD3_SW_POWER_MGMT) ? 1 : 0);
4105+
4106+ /* PN_VLD [30] */
4107+ printk("\t\tpn_vld = %d\n", (txd->txd_3 & MT_TXD3_PN_VALID) ? 1 : 0);
4108+
4109+ /* SN_VLD [31] */
4110+ printk("\t\tsn_vld = %d\n", (txd->txd_3 & MT_TXD3_SN_VALID) ? 1 : 0);
4111+
4112+
4113+ /* DW4 */
4114+ printk("\tTMAC_TXD_4:\n");
4115+
4116+ /* PN_LOW [31:0] */
4117+ printk("\t\tpn_low = 0x%lx\n", FIELD_GET(MT_TXD4_PN_LOW, txd->txd_4));
4118+
4119+
4120+ /* DW5 */
4121+ printk("\tTMAC_TXD_5:\n");
4122+
4123+ /* PID [7:0] */
4124+ printk("\t\tpid = %ld\n", FIELD_GET(MT_TXD5_PID, txd->txd_5));
4125+
4126+ /* TXSFM [8] */
4127+ printk("\t\ttx_status_fmt = %d\n", (txd->txd_5 & MT_TXD5_TX_STATUS_FMT) ? 1 : 0);
4128+
4129+ /* TXS2M [9] */
4130+ printk("\t\ttx_status_2_mcu = %d\n", (txd->txd_5 & MT_TXD5_TX_STATUS_MCU) ? 1 : 0);
4131+
4132+ /* TXS2H [10] */
4133+ printk("\t\ttx_status_2_host = %d\n", (txd->txd_5 & MT_TXD5_TX_STATUS_HOST) ? 1 : 0);
4134+
4135+ /* ADD_BA [14] */
4136+ printk("\t\tADD_BA = %d\n", (txd->txd_5 & MT_TXD5_ADD_BA) ? 1 : 0);
4137+
4138+ /* MD [15] */
4139+ printk("\t\tMD = %d\n", (txd->txd_5 & MT_TXD5_MD) ? 1 : 0);
4140+
4141+ /* PN_HIGH [31:16] */
4142+ printk("\t\tpn_high = 0x%lx\n", FIELD_GET(MT_TXD5_PN_HIGH, txd->txd_5));
4143+
4144+ /* DW6 */
4145+ printk("\tTMAC_TXD_6:\n");
4146+
4147+ if (txd->txd_2 & MT_TXD2_FIX_RATE) {
4148+ /* Fixed BandWidth mode [2:0] */
4149+ printk("\t\tbw = %ld\n", FIELD_GET(MT_TXD6_BW, txd->txd_6));
4150+
4151+ /* DYN_BW [3] */
4152+ printk("\t\tdyn_bw = %d\n", (txd->txd_6 & MT_TXD6_DYN_BW) ? 1 : 0);
4153+
4154+ /* ANT_ID [7:4] */
4155+ printk("\t\tant_id = %ld\n", FIELD_GET(MT_TXD6_ANT_ID, txd->txd_6));
4156+
4157+ /* SPE_IDX_SEL [10] */
4158+ printk("\t\tspe_idx_sel = %d\n", (txd->txd_6 & MT_TXD6_SPE_ID_IDX) ? 1 : 0);
4159+
4160+ /* LDPC [11] */
4161+ printk("\t\tldpc = %d\n", (txd->txd_6 & MT_TXD6_LDPC) ? 1 : 0);
4162+
4163+ /* HELTF Type[13:12] */
4164+ printk("\t\tHELTF Type = %ld\n", FIELD_GET(MT_TXD6_HELTF, txd->txd_6));
4165+
4166+ /* GI Type [15:14] */
4167+ printk("\t\tGI = %ld\n", FIELD_GET(MT_TXD6_SGI, txd->txd_6));
4168+
4169+ /* Rate to be Fixed [29:16] */
4170+ printk("\t\ttx_rate = 0x%lx\n", FIELD_GET(MT_TXD6_TX_RATE, txd->txd_6));
4171+ }
4172+
4173+ /* TXEBF [30] */
4174+ printk("\t\ttxebf = %d\n", (txd->txd_6 & MT_TXD6_TX_EBF) ? 1 : 0);
4175+
4176+ /* TXIBF [31] */
4177+ printk("\t\ttxibf = %d\n", (txd->txd_6 & MT_TXD6_TX_IBF) ? 1 : 0);
4178+
4179+ /* DW7 */
4180+ printk("\tTMAC_TXD_7:\n");
4181+
4182+ if ((txd->txd_1 & MT_TXD1_VTA) == 0) {
4183+ /* SW Tx Time [9:0] */
4184+ printk("\t\tsw_tx_time = %ld\n", FIELD_GET(MT_TXD7_TX_TIME, txd->txd_7));
4185+ } else {
4186+ /* TXD Arrival Time [9:0] */
4187+ printk("\t\tat = %ld\n", FIELD_GET(MT_TXD7_TAT, txd->txd_7));
4188+ }
4189+
4190+ /* HW_AMSDU_CAP [10] */
4191+ printk("\t\thw amsdu cap = %d\n",(txd->txd_7 & MT_TXD7_HW_AMSDU) ? 1 : 0);
4192+
4193+ /* SPE_IDX [15:11] */
4194+ if (txd->txd_2 & MT_TXD2_FIX_RATE) {
4195+ printk("\t\tspe_idx = 0x%lx\n", FIELD_GET(MT_TXD7_SPE_IDX, txd->txd_7));
4196+ }
4197+
4198+ /* PSE_FID [27:16] */
4199+ printk("\t\tpse_fid = 0x%lx\n", FIELD_GET(MT_TXD7_PSE_FID, txd->txd_7));
4200+
4201+ /* Subtype [19:16] */
4202+ printk("\t\tpp_sub_type=%ld\n", FIELD_GET(MT_TXD7_SUB_TYPE, txd->txd_7));
4203+
4204+ /* Type [21:20] */
4205+ printk("\t\tpp_type=%ld\n", FIELD_GET(MT_TXD7_TYPE, txd->txd_7));
4206+
4207+ /* CTXD_CNT [25:23] */
4208+ printk("\t\tctxd cnt=0x%lx\n", FIELD_GET(MT_TXD7_CTXD_CNT, txd->txd_7));
4209+
4210+ /* CTXD [26] */
4211+ printk("\t\tctxd = %d\n", (txd->txd_7 & MT_TXD7_CTXD) ? 1 : 0);
4212+
4213+ /* I [28] */
4214+ printk("\t\ti = %d\n", (txd->txd_7 & MT_TXD7_IP_SUM) ? 1 : 0);
4215+
4216+ /* UT [29] */
4217+ printk("\t\tUT = %d\n", (txd->txd_7 & MT_TXD7_UDP_TCP_SUM) ? 1 : 0);
4218+
4219+ /* TXDLEN [31:30] */
4220+ printk("\t\t txd len= %ld\n", FIELD_GET(MT_TXD7_TXD_LEN, txd->txd_7));
4221+}
4222+
4223+
4224+static int mt7915_token_txd_read(struct seq_file *s, void *data)
4225+{
4226+ struct mt7915_dev *dev = dev_get_drvdata(s->private);
4227+ struct mt76_txwi_cache *t;
4228+ u8* txwi;
4229+
4230+ seq_printf(s, "\n");
4231+ spin_lock_bh(&dev->mt76.token_lock);
4232+
4233+ t = idr_find(&dev->mt76.token, dev->dbg.token_idx);
4234+
4235+ spin_unlock_bh(&dev->mt76.token_lock);
4236+ if (t != NULL) {
4237+ struct mt76_dev *mdev = &dev->mt76;
4238+ txwi = ((u8*)(t)) - (mdev->drv->txwi_size);
4239+ mt7915_dump_tmac_info((u8*) txwi);
4240+ seq_printf(s, "\n");
4241+ printk("[SKB]\n");
4242+ print_hex_dump(KERN_ERR , "", DUMP_PREFIX_OFFSET, 16, 1, (u8 *)t->skb->data, t->skb->len, false);
4243+ seq_printf(s, "\n");
4244+ }
4245+ return 0;
4246+}
4247+
4248+static int mt7915_amsduinfo_read(struct seq_file *s, void *data)
4249+{
4250+ struct mt7915_dev *dev = dev_get_drvdata(s->private);
4251+ u32 ple_stat[8] = {0}, total_amsdu = 0;
4252+ u8 i;
4253+
4254+ for (i = 0; i < 8; i++)
4255+ ple_stat[i] = mt76_rr(dev, MT_DBG_PLE_AMSDU_PACK_MSDU_CNT(i));
4256+
4257+ seq_printf(s, "TXD counter status of MSDU:\n");
4258+
4259+ for (i = 0; i < 8; i++)
4260+ total_amsdu += ple_stat[i];
4261+
4262+ for (i = 0; i < 8; i++) {
4263+ seq_printf(s, "AMSDU pack count of %d MSDU in TXD: 0x%x ", i + 1, ple_stat[i]);
4264+ if (total_amsdu != 0)
4265+ seq_printf(s, "(%d%%)\n", ple_stat[i] * 100 / total_amsdu);
4266+ else
4267+ seq_printf(s, "\n");
4268+ }
4269+
4270+ return 0;
4271+
4272+}
4273+
4274+static int mt7915_agginfo_read_per_band(struct seq_file *s, int band_idx)
4275+{
4276+ struct mt7915_dev *dev = dev_get_drvdata(s->private);
4277+ u32 value, idx, agg_rang_sel[15], ampdu_cnt[11], total_ampdu = 0;
4278+
4279+ seq_printf(s, "Band %d AGG Status\n", band_idx);
4280+ seq_printf(s, "===============================\n");
4281+ value = mt76_rr(dev, MT_DBG_AGG_AALCR0(band_idx));
4282+ seq_printf(s, "AC00 Agg limit = %ld\t", FIELD_GET(MT_DBG_AGG_AALCR_ACx0_AGG_LIMIT_MASK, value));
4283+ seq_printf(s, "AC01 Agg limit = %ld\t", FIELD_GET(MT_DBG_AGG_AALCR_ACx1_AGG_LIMIT_MASK, value));
4284+ seq_printf(s, "AC02 Agg limit = %ld\t", FIELD_GET(MT_DBG_AGG_AALCR_ACx2_AGG_LIMIT_MASK, value));
4285+ seq_printf(s, "AC03 Agg limit = %ld\n", FIELD_GET(MT_DBG_AGG_AALCR_ACx3_AGG_LIMIT_MASK, value));
4286+
4287+ value = mt76_rr(dev, MT_DBG_AGG_AALCR1(band_idx));
4288+ seq_printf(s, "AC10 Agg limit = %ld\t", FIELD_GET(MT_DBG_AGG_AALCR_ACx0_AGG_LIMIT_MASK, value));
4289+ seq_printf(s, "AC11 Agg limit = %ld\t", FIELD_GET(MT_DBG_AGG_AALCR_ACx1_AGG_LIMIT_MASK, value));
4290+ seq_printf(s, "AC12 Agg limit = %ld\t", FIELD_GET(MT_DBG_AGG_AALCR_ACx2_AGG_LIMIT_MASK, value));
4291+ seq_printf(s, "AC13 Agg limit = %ld\n", FIELD_GET(MT_DBG_AGG_AALCR_ACx3_AGG_LIMIT_MASK, value));
4292+
4293+ value = mt76_rr(dev, MT_DBG_AGG_AALCR2(band_idx));
4294+ seq_printf(s, "AC20 Agg limit = %ld\t", FIELD_GET(MT_DBG_AGG_AALCR_ACx0_AGG_LIMIT_MASK, value));
4295+ seq_printf(s, "AC21 Agg limit = %ld\t", FIELD_GET(MT_DBG_AGG_AALCR_ACx1_AGG_LIMIT_MASK, value));
4296+ seq_printf(s, "AC22 Agg limit = %ld\t", FIELD_GET(MT_DBG_AGG_AALCR_ACx2_AGG_LIMIT_MASK, value));
4297+ seq_printf(s, "AC23 Agg limit = %ld\n", FIELD_GET(MT_DBG_AGG_AALCR_ACx3_AGG_LIMIT_MASK, value));
4298+
4299+ value = mt76_rr(dev, MT_DBG_AGG_AALCR3(band_idx));
4300+ seq_printf(s, "AC30 Agg limit = %ld\t", FIELD_GET(MT_DBG_AGG_AALCR_ACx0_AGG_LIMIT_MASK, value));
4301+ seq_printf(s, "AC31 Agg limit = %ld\t", FIELD_GET(MT_DBG_AGG_AALCR_ACx1_AGG_LIMIT_MASK, value));
4302+ seq_printf(s, "AC32 Agg limit = %ld\t", FIELD_GET(MT_DBG_AGG_AALCR_ACx2_AGG_LIMIT_MASK, value));
4303+ seq_printf(s, "AC33 Agg limit = %ld\n", FIELD_GET(MT_DBG_AGG_AALCR_ACx3_AGG_LIMIT_MASK, value));
4304+
4305+ value = mt76_rr(dev, MT_DBG_AGG_AALCR4(band_idx));
4306+ seq_printf(s, "ALTX Agg limit = %ld\n", FIELD_GET(MT_DBG_AGG_AALCR4_ALTX0_AGG_LIMIT_MASK, value));
4307+
4308+ value = mt76_rr(dev, MT_DBG_AGG_AWSCR(band_idx, 0));
4309+ seq_printf(s, "Winsize0 limit = %ld\t", FIELD_GET(MT_DBG_AGG_AWSCR0_WINSIZE0_MASK, value));
4310+ seq_printf(s, "Winsize1 limit = %ld\t", FIELD_GET(MT_DBG_AGG_AWSCR0_WINSIZE1_MASK, value));
4311+ seq_printf(s, "Winsize2 limit = %ld\t", FIELD_GET(MT_DBG_AGG_AWSCR0_WINSIZE2_MASK, value));
4312+ seq_printf(s, "Winsize3 limit = %ld\n", FIELD_GET(MT_DBG_AGG_AWSCR0_WINSIZE3_MASK, value));
4313+
4314+ value = mt76_rr(dev, MT_DBG_AGG_AWSCR(band_idx, 1));
4315+ seq_printf(s, "Winsize4 limit = %ld\t", FIELD_GET(MT_DBG_AGG_AWSCR1_WINSIZE4_MASK, value));
4316+ seq_printf(s, "Winsize5 limit = %ld\t", FIELD_GET(MT_DBG_AGG_AWSCR1_WINSIZE5_MASK, value));
4317+ seq_printf(s, "Winsize6 limit = %ld\t", FIELD_GET(MT_DBG_AGG_AWSCR1_WINSIZE6_MASK, value));
4318+ seq_printf(s, "Winsize7 limit = %ld\n", FIELD_GET(MT_DBG_AGG_AWSCR1_WINSIZE7_MASK, value));
4319+
4320+ value = mt76_rr(dev, MT_DBG_AGG_AWSCR(band_idx, 2));
4321+ seq_printf(s, "Winsize8 limit = %ld\t", FIELD_GET(MT_DBG_AGG_AWSCR2_WINSIZE8_MASK, value));
4322+ seq_printf(s, "Winsize9 limit = %ld\t", FIELD_GET(MT_DBG_AGG_AWSCR2_WINSIZE9_MASK, value));
4323+ seq_printf(s, "WinsizeA limit = %ld\t", FIELD_GET(MT_DBG_AGG_AWSCR2_WINSIZEA_MASK, value));
4324+ seq_printf(s, "WinsizeB limit = %ld\n", FIELD_GET(MT_DBG_AGG_AWSCR2_WINSIZEB_MASK, value));
4325+
4326+
4327+ value = mt76_rr(dev, MT_DBG_AGG_AWSCR(band_idx, 3));
4328+ seq_printf(s, "WinsizeC limit = %ld\t", FIELD_GET(MT_DBG_AGG_AWSCR3_WINSIZEC_MASK, value));
4329+ seq_printf(s, "WinsizeD limit = %ld\t", FIELD_GET(MT_DBG_AGG_AWSCR3_WINSIZED_MASK, value));
4330+ seq_printf(s, "WinsizeE limit = %ld\n", FIELD_GET(MT_DBG_AGG_AWSCR3_WINSIZEE_MASK, value));
4331+
4332+ seq_printf(s, "===AMPDU Related Counters===\n");
4333+
4334+ value = mt76_rr(dev, MT_DBG_MIB_M0ARNG(band_idx, 0));
4335+ agg_rang_sel[0] = FIELD_GET(MT_DBG_MIB_M0ARNG0_AGG_RANG_SEL0_MASK, value);
4336+ agg_rang_sel[1] = FIELD_GET(MT_DBG_MIB_M0ARNG0_AGG_RANG_SEL1_MASK, value);
4337+ agg_rang_sel[2] = FIELD_GET(MT_DBG_MIB_M0ARNG0_AGG_RANG_SEL2_MASK, value);
4338+ agg_rang_sel[3] = FIELD_GET(MT_DBG_MIB_M0ARNG0_AGG_RANG_SEL3_MASK, value);
4339+
4340+ value = mt76_rr(dev, MT_DBG_MIB_M0ARNG(band_idx, 1));
4341+ agg_rang_sel[4] = FIELD_GET(MT_DBG_MIB_M0ARNG1_AGG_RANG_SEL4_MASK, value);
4342+ agg_rang_sel[5] = FIELD_GET(MT_DBG_MIB_M0ARNG1_AGG_RANG_SEL5_MASK, value);
4343+ agg_rang_sel[6] = FIELD_GET(MT_DBG_MIB_M0ARNG1_AGG_RANG_SEL6_MASK, value);
4344+ agg_rang_sel[7] = FIELD_GET(MT_DBG_MIB_M0ARNG1_AGG_RANG_SEL7_MASK, value);
4345+
4346+ value = mt76_rr(dev, MT_DBG_MIB_M0ARNG(band_idx, 2));
4347+ agg_rang_sel[8] = FIELD_GET(MT_DBG_MIB_M0ARNG2_AGG_RANG_SEL8_MASK, value);
4348+ agg_rang_sel[9] = FIELD_GET(MT_DBG_MIB_M0ARNG2_AGG_RANG_SEL9_MASK, value);
4349+ agg_rang_sel[10] = FIELD_GET(MT_DBG_MIB_M0ARNG2_AGG_RANG_SEL10_MASK, value);
4350+ agg_rang_sel[11] = FIELD_GET(MT_DBG_MIB_M0ARNG2_AGG_RANG_SEL11_MASK, value);
4351+
4352+ value = mt76_rr(dev, MT_DBG_MIB_M0ARNG(band_idx, 3));
4353+ agg_rang_sel[12] = FIELD_GET(MT_DBG_MIB_M0ARNG3_AGG_RANG_SEL12_MASK, value);
4354+ agg_rang_sel[13] = FIELD_GET(MT_DBG_MIB_M0ARNG3_AGG_RANG_SEL13_MASK, value);
4355+ agg_rang_sel[14] = FIELD_GET(MT_DBG_MIB_M0ARNG3_AGG_RANG_SEL14_MASK, value);
4356+
4357+ /* Need to add 1 after read from AGG_RANG_SEL CR */
4358+ for (idx = 0; idx < 15; idx++)
4359+ agg_rang_sel[idx]++;
4360+
4361+ ampdu_cnt[3] = mt76_rr(dev, MT_DBG_MIB_M0DR2(band_idx, 0));
4362+ ampdu_cnt[4] = mt76_rr(dev, MT_DBG_MIB_M0DR2(band_idx, 1));
4363+ ampdu_cnt[5] = mt76_rr(dev, MT_DBG_MIB_M0DR2(band_idx, 2));
4364+ ampdu_cnt[6] = mt76_rr(dev, MT_DBG_MIB_M0DR2(band_idx, 3));
4365+ ampdu_cnt[7] = mt76_rr(dev, MT_DBG_MIB_M0DR13(band_idx, 0));
4366+ ampdu_cnt[8] = mt76_rr(dev, MT_DBG_MIB_M0DR13(band_idx, 1));
4367+ ampdu_cnt[9] = mt76_rr(dev, MT_DBG_MIB_M0DR13(band_idx, 2));
4368+ ampdu_cnt[10] = mt76_rr(dev, MT_DBG_MIB_M0DR13(band_idx, 3));
4369+
4370+ seq_printf(s, "\tTx Agg Range: \t%d \t%d~%d \t%d~%d \t%d~%d \t%d~%d \t%d~%d \t%d~%d \t%d~%d\n",
4371+ agg_rang_sel[0],
4372+ agg_rang_sel[0] + 1, agg_rang_sel[1],
4373+ agg_rang_sel[1] + 1, agg_rang_sel[2],
4374+ agg_rang_sel[2] + 1, agg_rang_sel[3],
4375+ agg_rang_sel[3] + 1, agg_rang_sel[4],
4376+ agg_rang_sel[4] + 1, agg_rang_sel[5],
4377+ agg_rang_sel[5] + 1, agg_rang_sel[6],
4378+ agg_rang_sel[6] + 1, agg_rang_sel[7]);
4379+
4380+#define BIT_0_to_15_MASK 0x0000FFFF
4381+#define BIT_15_to_31_MASK 0xFFFF0000
4382+#define SHFIT_16_BIT 16
4383+
4384+ for (idx = 3; idx < 11; idx++)
4385+ total_ampdu = total_ampdu + (ampdu_cnt[idx] & BIT_0_to_15_MASK) + ((ampdu_cnt[idx] & BIT_15_to_31_MASK) >> SHFIT_16_BIT);
4386+
4387+ seq_printf(s, "\t\t\t0x%lx \t0x%lx \t0x%lx \t0x%lx \t0x%lx \t0x%lx \t0x%lx \t0x%lx\n",
4388+ (ampdu_cnt[3]) & MT_DBG_MIB_M0DR2_TRX_AGG_RANGE0_CNT_MASK,
4389+ FIELD_GET(MT_DBG_MIB_M0DR2_TRX_AGG_RANGE1_CNT_MASK, ampdu_cnt[3]),
4390+ (ampdu_cnt[4]) & MT_DBG_MIB_M0DR3_TRX_AGG_RANGE2_CNT_MASK,
4391+ FIELD_GET(MT_DBG_MIB_M0DR3_TRX_AGG_RANGE3_CNT_MASK, ampdu_cnt[4]),
4392+ (ampdu_cnt[5]) & MT_DBG_MIB_M0DR4_TRX_AGG_RANGE4_CNT_MASK,
4393+ FIELD_GET(MT_DBG_MIB_M0DR4_TRX_AGG_RANGE5_CNT_MASK, ampdu_cnt[5]),
4394+ (ampdu_cnt[6]) & MT_DBG_MIB_M0DR5_TRX_AGG_RANGE6_CNT_MASK,
4395+ FIELD_GET(MT_DBG_MIB_M0DR5_TRX_AGG_RANGE7_CNT_MASK, ampdu_cnt[6]));
4396+
4397+ if (total_ampdu != 0) {
4398+ seq_printf(s, "\t\t\t(%ld%%) \t(%ld%%) \t(%ld%%) \t(%ld%%) \t(%ld%%) \t(%ld%%) \t(%ld%%) \t(%ld%%)\n",
4399+ ((ampdu_cnt[3]) & MT_DBG_MIB_M0DR2_TRX_AGG_RANGE0_CNT_MASK) * 100 / total_ampdu,
4400+ FIELD_GET(MT_DBG_MIB_M0DR2_TRX_AGG_RANGE1_CNT_MASK, ampdu_cnt[3]) * 100 / total_ampdu,
4401+ ((ampdu_cnt[4]) & MT_DBG_MIB_M0DR3_TRX_AGG_RANGE2_CNT_MASK) * 100 / total_ampdu,
4402+ FIELD_GET(MT_DBG_MIB_M0DR3_TRX_AGG_RANGE3_CNT_MASK, ampdu_cnt[4]) * 100 / total_ampdu,
4403+ ((ampdu_cnt[5]) & MT_DBG_MIB_M0DR4_TRX_AGG_RANGE4_CNT_MASK) * 100 / total_ampdu,
4404+ FIELD_GET(MT_DBG_MIB_M0DR4_TRX_AGG_RANGE5_CNT_MASK, ampdu_cnt[5]) * 100 / total_ampdu,
4405+ ((ampdu_cnt[6]) & MT_DBG_MIB_M0DR5_TRX_AGG_RANGE6_CNT_MASK) * 100 / total_ampdu,
4406+ FIELD_GET(MT_DBG_MIB_M0DR5_TRX_AGG_RANGE7_CNT_MASK, ampdu_cnt[6]) * 100 / total_ampdu);
4407+ }
4408+
4409+ seq_printf(s, "\t\t\t%d~%d\t%d~%d\t%d~%d\t%d~%d\t%d~%d\t%d~%d\t%d~%d\t%d~256\n",
4410+ agg_rang_sel[7] + 1, agg_rang_sel[8],
4411+ agg_rang_sel[8] + 1, agg_rang_sel[9],
4412+ agg_rang_sel[9] + 1, agg_rang_sel[10],
4413+ agg_rang_sel[10] + 1, agg_rang_sel[11],
4414+ agg_rang_sel[11] + 1, agg_rang_sel[12],
4415+ agg_rang_sel[12] + 1, agg_rang_sel[13],
4416+ agg_rang_sel[13] + 1, agg_rang_sel[14],
4417+ agg_rang_sel[14] + 1);
4418+
4419+ seq_printf(s, "\t\t\t0x%lx \t0x%lx \t0x%lx \t0x%lx \t0x%lx \t0x%lx \t0x%lx \t0x%lx\n",
4420+ (ampdu_cnt[7]) & MT_DBG_MIB_M0DR13_TRX_AGG_RANGE8_CNT_MASK,
4421+ FIELD_GET(MT_DBG_MIB_M0DR13_TRX_AGG_RANGE9_CNT_MASK, ampdu_cnt[7]),
4422+ (ampdu_cnt[8]) & MT_DBG_MIB_M0DR14_TRX_AGG_RANGE10_CNT_MASK,
4423+ FIELD_GET(MT_DBG_MIB_M0DR14_TRX_AGG_RANGE11_CNT_MASK, ampdu_cnt[8]),
4424+ (ampdu_cnt[9]) & MT_DBG_MIB_M0DR15_TRX_AGG_RANGE12_CNT_MASK,
4425+ FIELD_GET(MT_DBG_MIB_M0DR15_TRX_AGG_RANGE13_CNT_MASK, ampdu_cnt[9]),
4426+ (ampdu_cnt[10]) & MT_DBG_MIB_M0DR16_TRX_AGG_RANGE14_CNT_MASK,
4427+ FIELD_GET(MT_DBG_MIB_M0DR16_TRX_AGG_RANGE15_CNT_MASK, ampdu_cnt[10]));
4428+
4429+ if (total_ampdu != 0) {
4430+ seq_printf(s, "\t\t\t(%ld%%) \t(%ld%%) \t(%ld%%) \t(%ld%%) \t(%ld%%) \t(%ld%%) \t(%ld%%) \t(%ld%%)\n",
4431+ ((ampdu_cnt[7]) & MT_DBG_MIB_M0DR2_TRX_AGG_RANGE0_CNT_MASK) * 100 / total_ampdu,
4432+ FIELD_GET(MT_DBG_MIB_M0DR2_TRX_AGG_RANGE1_CNT_MASK, ampdu_cnt[7]) * 100 / total_ampdu,
4433+ ((ampdu_cnt[8]) & MT_DBG_MIB_M0DR3_TRX_AGG_RANGE2_CNT_MASK) * 100 / total_ampdu,
4434+ FIELD_GET(MT_DBG_MIB_M0DR3_TRX_AGG_RANGE3_CNT_MASK, ampdu_cnt[8]) * 100 / total_ampdu,
4435+ ((ampdu_cnt[9]) & MT_DBG_MIB_M0DR4_TRX_AGG_RANGE4_CNT_MASK) * 100 / total_ampdu,
4436+ FIELD_GET(MT_DBG_MIB_M0DR4_TRX_AGG_RANGE5_CNT_MASK, ampdu_cnt[9]) * 100 / total_ampdu,
4437+ ((ampdu_cnt[10]) & MT_DBG_MIB_M0DR5_TRX_AGG_RANGE6_CNT_MASK) * 100 / total_ampdu,
4438+ FIELD_GET(MT_DBG_MIB_M0DR5_TRX_AGG_RANGE7_CNT_MASK, ampdu_cnt[10]) * 100 / total_ampdu);
4439+ }
4440+
4441+ return 0;
4442+}
4443+
4444+static int mt7915_agginfo_read_band0(struct seq_file *s, void *data)
4445+{
4446+ mt7915_agginfo_read_per_band(s, 0);
4447+ return 0;
4448+}
4449+
4450+static int mt7915_agginfo_read_band1(struct seq_file *s, void *data)
4451+{
4452+ mt7915_agginfo_read_per_band(s, 1);
4453+ return 0;
4454+}
4455+
4456+/*usage: <en> <num> <len>
4457+ en: BIT(16) 0: sw amsdu 1: hw amsdu
4458+ num: GENMASK(15, 8) range 1-8
4459+ len: GENMASK(7, 0) unit: 256 bytes */
4460+static int mt7915_sta_tx_amsdu_set(void *data, u64 tx_amsdu)
4461+{
4462+/* UWTBL DW 6 */
4463+#define WTBL_AMSDU_LEN_MASK GENMASK(5, 0)
4464+#define WTBL_AMSDU_NUM_MASK GENMASK(8, 6)
4465+#define WTBL_AMSDU_EN_MASK BIT(9)
4466+#define UWTBL_HW_AMSDU_DW 6
4467+
4468+ struct mt7915_dev *dev = data;
4469+ u32 len = FIELD_GET(GENMASK(7, 0), tx_amsdu);
4470+ u32 num = FIELD_GET(GENMASK(15, 8), tx_amsdu);
4471+ u32 uwtbl;
4472+
4473+ mt7915_wtbl_read_raw(dev, dev->wlan_idx, WTBL_TYPE_UMAC,
4474+ UWTBL_HW_AMSDU_DW, 1, &uwtbl);
4475+
4476+ if (len) {
4477+ uwtbl &= ~WTBL_AMSDU_LEN_MASK;
4478+ uwtbl |= FIELD_PREP(WTBL_AMSDU_LEN_MASK, len);
4479+ }
4480+
4481+ uwtbl &= ~WTBL_AMSDU_NUM_MASK;
4482+ uwtbl |= FIELD_PREP(WTBL_AMSDU_NUM_MASK, num);
4483+
4484+ if (tx_amsdu & BIT(16))
4485+ uwtbl |= WTBL_AMSDU_EN_MASK;
4486+
4487+ mt7915_wtbl_write_raw(dev, dev->wlan_idx, WTBL_TYPE_UMAC,
4488+ UWTBL_HW_AMSDU_DW, uwtbl);
4489+
4490+ return 0;
4491+}
4492+
4493+DEFINE_DEBUGFS_ATTRIBUTE(fops_tx_amsdu, NULL,
4494+ mt7915_sta_tx_amsdu_set, "%llx\n");
4495+
4496+static int mt7915_red_enable_set(void *data, u64 en)
4497+{
4498+ struct mt7915_dev *dev = data;
4499+
4500+ return mt7915_mcu_set_red(dev, en);
4501+}
4502+DEFINE_DEBUGFS_ATTRIBUTE(fops_red_en, NULL,
4503+ mt7915_red_enable_set, "%llx\n");
4504+
4505+static int mt7915_red_show_sta_set(void *data, u64 wlan_idx)
4506+{
4507+ struct mt7915_dev *dev = data;
4508+
4509+ mt7915_dbg_mcu_wa_cmd(dev, MCU_WA_PARAM_CMD(SET),
4510+ MCU_WA_PARAM_RED_SHOW_STA,
4511+ wlan_idx, 0, true);
4512+
4513+ return 0;
4514+}
4515+DEFINE_DEBUGFS_ATTRIBUTE(fops_red_show_sta, NULL,
4516+ mt7915_red_show_sta_set, "%llx\n");
4517+
4518+static int mt7915_red_target_dly_set(void *data, u64 delay)
4519+{
4520+ struct mt7915_dev *dev = data;
4521+
4522+ if (delay > 0 && delay <= 32767)
4523+ mt7915_dbg_mcu_wa_cmd(dev, MCU_WA_PARAM_CMD(SET),
4524+ MCU_WA_PARAM_RED_TARGET_DELAY,
4525+ delay, 0, true);
4526+
4527+ return 0;
4528+}
4529+DEFINE_DEBUGFS_ATTRIBUTE(fops_red_target_dly, NULL,
4530+ mt7915_red_target_dly_set, "%llx\n");
4531+
4532+static int
4533+mt7915_txpower_level_set(void *data, u64 val)
4534+{
4535+ struct mt7915_dev *dev = data;
4536+ struct mt7915_phy *ext_phy = mt7915_ext_phy(dev);
4537+ mt7915_mcu_set_txpower_level(&dev->phy, val);
4538+ if (ext_phy)
4539+ mt7915_mcu_set_txpower_level(ext_phy, val);
4540+
4541+ return 0;
4542+}
4543+
4544+DEFINE_DEBUGFS_ATTRIBUTE(fops_txpower_level, NULL,
4545+ mt7915_txpower_level_set, "%lld\n");
4546+
4547+/* usage: echo 0x[arg3][arg2][arg1] > fw_wa_set */
4548+static int
4549+mt7915_wa_set(void *data, u64 val)
4550+{
4551+ struct mt7915_dev *dev = data;
4552+ u32 arg1, arg2, arg3;
4553+
4554+ arg1 = FIELD_GET(GENMASK_ULL(7, 0), val);
4555+ arg2 = FIELD_GET(GENMASK_ULL(15, 8), val);
4556+ arg3 = FIELD_GET(GENMASK_ULL(23, 16), val);
4557+
4558+ mt7915_dbg_mcu_wa_cmd(dev, MCU_WA_PARAM_CMD(SET), arg1, arg2, arg3, false);
4559+
4560+ return 0;
4561+}
4562+
4563+DEFINE_DEBUGFS_ATTRIBUTE(fops_wa_set, NULL, mt7915_wa_set,
4564+ "0x%llx\n");
4565+/* usage: echo 0x[arg3][arg2][arg1] > fw_wa_query */
4566+static int
4567+mt7915_wa_query(void *data, u64 val)
4568+{
4569+ struct mt7915_dev *dev = data;
4570+ u32 arg1, arg2, arg3;
4571+
4572+ arg1 = FIELD_GET(GENMASK_ULL(7, 0), val);
4573+ arg2 = FIELD_GET(GENMASK_ULL(15, 8), val);
4574+ arg3 = FIELD_GET(GENMASK_ULL(23, 16), val);
4575+
4576+ mt7915_dbg_mcu_wa_cmd(dev, MCU_WA_PARAM_CMD(QUERY), arg1, arg2, arg3, false);
4577+
4578+ return 0;
4579+}
4580+
4581+DEFINE_DEBUGFS_ATTRIBUTE(fops_wa_query, NULL, mt7915_wa_query,
4582+ "0x%llx\n");
4583+/* set wa debug level
4584+ usage:
4585+ echo 0x[arg] > fw_wa_debug
4586+ bit0 : DEBUG_WIFI_TX
4587+ bit1 : DEBUG_CMD_EVENT
4588+ bit2 : DEBUG_RED
4589+ bit3 : DEBUG_WARN
4590+ bit4 : DEBUG_WIFI_RX
4591+ bit5 : DEBUG_TIME_STAMP
4592+ bit6 : DEBUG_TX_FREE_DONE_EVENT
4593+ bit12 : DEBUG_WIFI_TXD */
4594+static int
4595+mt7915_wa_debug(void *data, u64 val)
4596+{
4597+ struct mt7915_dev *dev = data;
4598+ u32 arg;
4599+
4600+ arg = FIELD_GET(GENMASK_ULL(15, 0), val);
4601+
4602+ mt7915_dbg_mcu_wa_cmd(dev, MCU_WA_PARAM_CMD(DEBUG), arg, 0, 0, false);
4603+
4604+ return 0;
4605+}
4606+
4607+DEFINE_DEBUGFS_ATTRIBUTE(fops_wa_debug, NULL, mt7915_wa_debug,
4608+ "0x%llx\n");
4609+
4610+int mt7915_mtk_init_debugfs(struct mt7915_phy *phy, struct dentry *dir)
4611+{
4612+ struct mt7915_dev *dev = phy->dev;
4613+ u32 device_id = (dev->mt76.rev) >> 16;
4614+ int i = 0;
4615+
4616+ for (i = 0; i < ARRAY_SIZE(dbg_reg_s); i++) {
4617+ if (device_id == dbg_reg_s[i].id) {
4618+ dev->dbg_reg = &dbg_reg_s[i];
4619+ break;
4620+ }
4621+ }
4622+
4623+ mt7915_mcu_fw_log_2_host(dev, MCU_FW_LOG_WM, 0);
4624+
4625+ debugfs_create_file("fw_debug_module", 0600, dir, dev,
4626+ &fops_fw_debug_module);
4627+ debugfs_create_file("fw_debug_level", 0600, dir, dev,
4628+ &fops_fw_debug_level);
4629+
developer68e1eb22022-05-09 17:02:12 +08004630+ debugfs_create_devm_seqfile(dev->mt76.dev, "sta_info", dir,
4631+ mt7915_sta_info);
developere2cc0fa2022-03-29 17:31:03 +08004632+ debugfs_create_devm_seqfile(dev->mt76.dev, "wtbl_info", dir,
4633+ mt7915_wtbl_read);
4634+ debugfs_create_devm_seqfile(dev->mt76.dev, "uwtbl_info", dir,
4635+ mt7915_uwtbl_read);
4636+
4637+ debugfs_create_devm_seqfile(dev->mt76.dev, "tr_info", dir,
4638+ mt7915_trinfo_read);
4639+
4640+ debugfs_create_devm_seqfile(dev->mt76.dev, "drr_info", dir,
4641+ mt7915_drr_info);
4642+
4643+ debugfs_create_devm_seqfile(dev->mt76.dev, "ple_info", dir,
4644+ mt7915_pleinfo_read);
4645+
4646+ debugfs_create_devm_seqfile(dev->mt76.dev, "pse_info", dir,
4647+ mt7915_pseinfo_read);
4648+
4649+ debugfs_create_devm_seqfile(dev->mt76.dev, "mib_info0", dir,
4650+ mt7915_mibinfo_band0);
4651+ debugfs_create_devm_seqfile(dev->mt76.dev, "mib_info1", dir,
4652+ mt7915_mibinfo_band1);
4653+
4654+ debugfs_create_u32("token_idx", 0600, dir, &dev->dbg.token_idx);
4655+ debugfs_create_devm_seqfile(dev->mt76.dev, "token", dir,
4656+ mt7915_token_read);
4657+ debugfs_create_devm_seqfile(dev->mt76.dev, "token_txd", dir,
4658+ mt7915_token_txd_read);
4659+
4660+ debugfs_create_devm_seqfile(dev->mt76.dev, "amsdu_info", dir,
4661+ mt7915_amsduinfo_read);
4662+
4663+ debugfs_create_devm_seqfile(dev->mt76.dev, "agg_info0", dir,
4664+ mt7915_agginfo_read_band0);
4665+ debugfs_create_devm_seqfile(dev->mt76.dev, "agg_info1", dir,
4666+ mt7915_agginfo_read_band1);
4667+
4668+ debugfs_create_file("tx_amsdu", 0600, dir, dev, &fops_tx_amsdu);
4669+
4670+ debugfs_create_file("fw_wa_query", 0600, dir, dev, &fops_wa_query);
4671+ debugfs_create_file("fw_wa_set", 0600, dir, dev, &fops_wa_set);
4672+ debugfs_create_file("fw_wa_debug", 0600, dir, dev, &fops_wa_debug);
4673+
4674+ debugfs_create_file("red_en", 0600, dir, dev,
4675+ &fops_red_en);
4676+ debugfs_create_file("red_show_sta", 0600, dir, dev,
4677+ &fops_red_show_sta);
4678+ debugfs_create_file("red_target_dly", 0600, dir, dev,
4679+ &fops_red_target_dly);
4680+
4681+ debugfs_create_file("txpower_level", 0400, dir, dev,
4682+ &fops_txpower_level);
4683+
developerc115a812022-06-22 15:29:14 +08004684+ debugfs_create_u8("sku_disable", 0600, dir, &dev->dbg.sku_disable);
4685+
developere2cc0fa2022-03-29 17:31:03 +08004686+ return 0;
4687+}
4688+#endif
4689diff --git a/mt7915/mtk_mcu.c b/mt7915/mtk_mcu.c
4690new file mode 100644
developer20747c12022-09-16 14:09:40 +08004691index 00000000..145fe785
developere2cc0fa2022-03-29 17:31:03 +08004692--- /dev/null
4693+++ b/mt7915/mtk_mcu.c
4694@@ -0,0 +1,51 @@
4695+#include <linux/firmware.h>
4696+#include <linux/fs.h>
4697+#include<linux/inet.h>
4698+#include "mt7915.h"
4699+#include "mcu.h"
4700+#include "mac.h"
4701+
4702+int mt7915_mcu_set_txpower_level(struct mt7915_phy *phy, u8 drop_level)
4703+{
4704+ struct mt7915_dev *dev = phy->dev;
4705+ struct mt7915_sku_val {
4706+ u8 format_id;
4707+ u8 val;
4708+ u8 band;
4709+ u8 _rsv;
4710+ } __packed req = {
4711+ .format_id = 1,
4712+ .band = phy->band_idx,
4713+ .val = !!drop_level,
4714+ };
4715+ int ret;
4716+
4717+ ret = mt76_mcu_send_msg(&dev->mt76,
4718+ MCU_EXT_CMD(TX_POWER_FEATURE_CTRL), &req,
4719+ sizeof(req), true);
4720+ if (ret)
4721+ return ret;
4722+
4723+ req.format_id = 2;
4724+ if ((drop_level > 90 && drop_level < 100) || !drop_level)
4725+ req.val = 0;
4726+ else if (drop_level > 60 && drop_level <= 90)
4727+ /* reduce Pwr for 1 dB. */
4728+ req.val = 2;
4729+ else if (drop_level > 30 && drop_level <= 60)
4730+ /* reduce Pwr for 3 dB. */
4731+ req.val = 6;
4732+ else if (drop_level > 15 && drop_level <= 30)
4733+ /* reduce Pwr for 6 dB. */
4734+ req.val = 12;
4735+ else if (drop_level > 9 && drop_level <= 15)
4736+ /* reduce Pwr for 9 dB. */
4737+ req.val = 18;
4738+ else if (drop_level > 0 && drop_level <= 9)
4739+ /* reduce Pwr for 12 dB. */
4740+ req.val = 24;
4741+
4742+ return mt76_mcu_send_msg(&dev->mt76,
4743+ MCU_EXT_CMD(TX_POWER_FEATURE_CTRL), &req,
4744+ sizeof(req), true);
4745+}
4746diff --git a/tools/fwlog.c b/tools/fwlog.c
developer20747c12022-09-16 14:09:40 +08004747index e5d4a105..3d51d9ec 100644
developere2cc0fa2022-03-29 17:31:03 +08004748--- a/tools/fwlog.c
4749+++ b/tools/fwlog.c
4750@@ -26,7 +26,7 @@ static const char *debugfs_path(const char *phyname, const char *file)
4751 return path;
4752 }
4753
4754-static int mt76_set_fwlog_en(const char *phyname, bool en)
4755+static int mt76_set_fwlog_en(const char *phyname, bool en, char *val)
4756 {
4757 FILE *f = fopen(debugfs_path(phyname, "fw_debug_bin"), "w");
4758
4759@@ -35,7 +35,13 @@ static int mt76_set_fwlog_en(const char *phyname, bool en)
4760 return 1;
4761 }
4762
4763- fprintf(f, "7");
4764+ if (en && val)
4765+ fprintf(f, "%s", val);
4766+ else if (en)
4767+ fprintf(f, "7");
4768+ else
4769+ fprintf(f, "0");
4770+
4771 fclose(f);
4772
4773 return 0;
4774@@ -76,6 +82,7 @@ static void handle_signal(int sig)
4775
4776 int mt76_fwlog(const char *phyname, int argc, char **argv)
4777 {
4778+#define BUF_SIZE 1504
4779 struct sockaddr_in local = {
4780 .sin_family = AF_INET,
4781 .sin_addr.s_addr = INADDR_ANY,
developerd8dcbb02022-05-16 11:39:20 +08004782@@ -84,9 +91,10 @@ int mt76_fwlog(const char *phyname, int argc, char **argv)
developere2cc0fa2022-03-29 17:31:03 +08004783 .sin_family = AF_INET,
4784 .sin_port = htons(55688),
4785 };
4786- char buf[1504];
4787+ char *buf = calloc(BUF_SIZE, sizeof(char));
developerd8dcbb02022-05-16 11:39:20 +08004788+ FILE *logfile = NULL;
developere2cc0fa2022-03-29 17:31:03 +08004789 int ret = 0;
4790- int yes = 1;
4791+ /* int yes = 1; */
4792 int s, fd;
4793
4794 if (argc < 1) {
developerd8dcbb02022-05-16 11:39:20 +08004795@@ -99,19 +107,28 @@ int mt76_fwlog(const char *phyname, int argc, char **argv)
developere2cc0fa2022-03-29 17:31:03 +08004796 return 1;
4797 }
4798
developerd8dcbb02022-05-16 11:39:20 +08004799+ if (argc == 3) {
4800+ fprintf(stdout, "start logging to file %s\n", argv[2]);
4801+ logfile = fopen(argv[2], "wb");
4802+ if (!logfile) {
4803+ perror("fopen");
4804+ return 1;
4805+ }
4806+ }
4807+
4808 s = socket(PF_INET, SOCK_DGRAM, IPPROTO_UDP);
4809 if (s < 0) {
4810 perror("socket");
4811 return 1;
4812 }
4813
developere2cc0fa2022-03-29 17:31:03 +08004814- setsockopt(s, SOL_SOCKET, SO_BROADCAST, &yes, sizeof(yes));
4815+ /* setsockopt(s, SOL_SOCKET, SO_BROADCAST, &yes, sizeof(yes)); */
4816 if (bind(s, (struct sockaddr *)&local, sizeof(local)) < 0) {
4817 perror("bind");
4818 return 1;
4819 }
4820
4821- if (mt76_set_fwlog_en(phyname, true))
4822+ if (mt76_set_fwlog_en(phyname, true, argv[1]))
4823 return 1;
4824
4825 fd = open(debugfs_path(phyname, "fwlog_data"), O_RDONLY);
developerd8dcbb02022-05-16 11:39:20 +08004826@@ -145,8 +162,8 @@ int mt76_fwlog(const char *phyname, int argc, char **argv)
developere2cc0fa2022-03-29 17:31:03 +08004827 if (!r)
4828 continue;
4829
4830- if (len > sizeof(buf)) {
4831- fprintf(stderr, "Length error: %d > %d\n", len, (int)sizeof(buf));
4832+ if (len > BUF_SIZE) {
4833+ fprintf(stderr, "Length error: %d > %d\n", len, BUF_SIZE);
4834 ret = 1;
4835 break;
4836 }
developerd8dcbb02022-05-16 11:39:20 +08004837@@ -164,14 +181,19 @@ int mt76_fwlog(const char *phyname, int argc, char **argv)
4838 break;
4839 }
4840
4841- /* send buf */
4842- sendto(s, buf, len, 0, (struct sockaddr *)&remote, sizeof(remote));
4843+ if (logfile)
4844+ fwrite(buf, 1, len, logfile);
4845+ else
4846+ /* send buf */
4847+ sendto(s, buf, len, 0, (struct sockaddr *)&remote, sizeof(remote));
4848 }
4849
developere2cc0fa2022-03-29 17:31:03 +08004850 close(fd);
4851
4852 out:
4853- mt76_set_fwlog_en(phyname, false);
4854+ mt76_set_fwlog_en(phyname, false, NULL);
4855+ free(buf);
developerd8dcbb02022-05-16 11:39:20 +08004856+ fclose(logfile);
developere2cc0fa2022-03-29 17:31:03 +08004857
4858 return ret;
4859 }
4860--
developer20747c12022-09-16 14:09:40 +080048612.25.1
developere2cc0fa2022-03-29 17:31:03 +08004862