blob: 116fd82ca1fe61ac332c68d1f9dd065fc306e49d [file] [log] [blame]
Jorge Ramirez-Ortiz47503d22018-09-23 09:36:52 +02001/*
2 * Copyright (c) 2015-2017, Renesas Electronics Corporation. All rights reserved.
3 *
4 * SPDX-License-Identifier: BSD-3-Clause
5 */
6
7#include <stdint.h> /* for uint32_t */
Antonio Nino Diaze0f90632018-12-14 00:18:21 +00008
9#include <lib/mmio.h>
10
Jorge Ramirez-Ortiz47503d22018-09-23 09:36:52 +020011#include "pfc_init_h3_v2.h"
12#include "rcar_def.h"
13
14/* GPIO base address */
15#define GPIO_BASE (0xE6050000U)
16
17/* GPIO registers */
18#define GPIO_IOINTSEL0 (GPIO_BASE + 0x0000U)
19#define GPIO_INOUTSEL0 (GPIO_BASE + 0x0004U)
20#define GPIO_OUTDT0 (GPIO_BASE + 0x0008U)
21#define GPIO_INDT0 (GPIO_BASE + 0x000CU)
22#define GPIO_INTDT0 (GPIO_BASE + 0x0010U)
23#define GPIO_INTCLR0 (GPIO_BASE + 0x0014U)
24#define GPIO_INTMSK0 (GPIO_BASE + 0x0018U)
25#define GPIO_MSKCLR0 (GPIO_BASE + 0x001CU)
26#define GPIO_POSNEG0 (GPIO_BASE + 0x0020U)
27#define GPIO_EDGLEVEL0 (GPIO_BASE + 0x0024U)
28#define GPIO_FILONOFF0 (GPIO_BASE + 0x0028U)
29#define GPIO_INTMSKS0 (GPIO_BASE + 0x0038U)
30#define GPIO_MSKCLRS0 (GPIO_BASE + 0x003CU)
31#define GPIO_OUTDTSEL0 (GPIO_BASE + 0x0040U)
32#define GPIO_OUTDTH0 (GPIO_BASE + 0x0044U)
33#define GPIO_OUTDTL0 (GPIO_BASE + 0x0048U)
34#define GPIO_BOTHEDGE0 (GPIO_BASE + 0x004CU)
35#define GPIO_IOINTSEL1 (GPIO_BASE + 0x1000U)
36#define GPIO_INOUTSEL1 (GPIO_BASE + 0x1004U)
37#define GPIO_OUTDT1 (GPIO_BASE + 0x1008U)
38#define GPIO_INDT1 (GPIO_BASE + 0x100CU)
39#define GPIO_INTDT1 (GPIO_BASE + 0x1010U)
40#define GPIO_INTCLR1 (GPIO_BASE + 0x1014U)
41#define GPIO_INTMSK1 (GPIO_BASE + 0x1018U)
42#define GPIO_MSKCLR1 (GPIO_BASE + 0x101CU)
43#define GPIO_POSNEG1 (GPIO_BASE + 0x1020U)
44#define GPIO_EDGLEVEL1 (GPIO_BASE + 0x1024U)
45#define GPIO_FILONOFF1 (GPIO_BASE + 0x1028U)
46#define GPIO_INTMSKS1 (GPIO_BASE + 0x1038U)
47#define GPIO_MSKCLRS1 (GPIO_BASE + 0x103CU)
48#define GPIO_OUTDTSEL1 (GPIO_BASE + 0x1040U)
49#define GPIO_OUTDTH1 (GPIO_BASE + 0x1044U)
50#define GPIO_OUTDTL1 (GPIO_BASE + 0x1048U)
51#define GPIO_BOTHEDGE1 (GPIO_BASE + 0x104CU)
52#define GPIO_IOINTSEL2 (GPIO_BASE + 0x2000U)
53#define GPIO_INOUTSEL2 (GPIO_BASE + 0x2004U)
54#define GPIO_OUTDT2 (GPIO_BASE + 0x2008U)
55#define GPIO_INDT2 (GPIO_BASE + 0x200CU)
56#define GPIO_INTDT2 (GPIO_BASE + 0x2010U)
57#define GPIO_INTCLR2 (GPIO_BASE + 0x2014U)
58#define GPIO_INTMSK2 (GPIO_BASE + 0x2018U)
59#define GPIO_MSKCLR2 (GPIO_BASE + 0x201CU)
60#define GPIO_POSNEG2 (GPIO_BASE + 0x2020U)
61#define GPIO_EDGLEVEL2 (GPIO_BASE + 0x2024U)
62#define GPIO_FILONOFF2 (GPIO_BASE + 0x2028U)
63#define GPIO_INTMSKS2 (GPIO_BASE + 0x2038U)
64#define GPIO_MSKCLRS2 (GPIO_BASE + 0x203CU)
65#define GPIO_OUTDTSEL2 (GPIO_BASE + 0x2040U)
66#define GPIO_OUTDTH2 (GPIO_BASE + 0x2044U)
67#define GPIO_OUTDTL2 (GPIO_BASE + 0x2048U)
68#define GPIO_BOTHEDGE2 (GPIO_BASE + 0x204CU)
69#define GPIO_IOINTSEL3 (GPIO_BASE + 0x3000U)
70#define GPIO_INOUTSEL3 (GPIO_BASE + 0x3004U)
71#define GPIO_OUTDT3 (GPIO_BASE + 0x3008U)
72#define GPIO_INDT3 (GPIO_BASE + 0x300CU)
73#define GPIO_INTDT3 (GPIO_BASE + 0x3010U)
74#define GPIO_INTCLR3 (GPIO_BASE + 0x3014U)
75#define GPIO_INTMSK3 (GPIO_BASE + 0x3018U)
76#define GPIO_MSKCLR3 (GPIO_BASE + 0x301CU)
77#define GPIO_POSNEG3 (GPIO_BASE + 0x3020U)
78#define GPIO_EDGLEVEL3 (GPIO_BASE + 0x3024U)
79#define GPIO_FILONOFF3 (GPIO_BASE + 0x3028U)
80#define GPIO_INTMSKS3 (GPIO_BASE + 0x3038U)
81#define GPIO_MSKCLRS3 (GPIO_BASE + 0x303CU)
82#define GPIO_OUTDTSEL3 (GPIO_BASE + 0x3040U)
83#define GPIO_OUTDTH3 (GPIO_BASE + 0x3044U)
84#define GPIO_OUTDTL3 (GPIO_BASE + 0x3048U)
85#define GPIO_BOTHEDGE3 (GPIO_BASE + 0x304CU)
86#define GPIO_IOINTSEL4 (GPIO_BASE + 0x4000U)
87#define GPIO_INOUTSEL4 (GPIO_BASE + 0x4004U)
88#define GPIO_OUTDT4 (GPIO_BASE + 0x4008U)
89#define GPIO_INDT4 (GPIO_BASE + 0x400CU)
90#define GPIO_INTDT4 (GPIO_BASE + 0x4010U)
91#define GPIO_INTCLR4 (GPIO_BASE + 0x4014U)
92#define GPIO_INTMSK4 (GPIO_BASE + 0x4018U)
93#define GPIO_MSKCLR4 (GPIO_BASE + 0x401CU)
94#define GPIO_POSNEG4 (GPIO_BASE + 0x4020U)
95#define GPIO_EDGLEVEL4 (GPIO_BASE + 0x4024U)
96#define GPIO_FILONOFF4 (GPIO_BASE + 0x4028U)
97#define GPIO_INTMSKS4 (GPIO_BASE + 0x4038U)
98#define GPIO_MSKCLRS4 (GPIO_BASE + 0x403CU)
99#define GPIO_OUTDTSEL4 (GPIO_BASE + 0x4040U)
100#define GPIO_OUTDTH4 (GPIO_BASE + 0x4044U)
101#define GPIO_OUTDTL4 (GPIO_BASE + 0x4048U)
102#define GPIO_BOTHEDGE4 (GPIO_BASE + 0x404CU)
103#define GPIO_IOINTSEL5 (GPIO_BASE + 0x5000U)
104#define GPIO_INOUTSEL5 (GPIO_BASE + 0x5004U)
105#define GPIO_OUTDT5 (GPIO_BASE + 0x5008U)
106#define GPIO_INDT5 (GPIO_BASE + 0x500CU)
107#define GPIO_INTDT5 (GPIO_BASE + 0x5010U)
108#define GPIO_INTCLR5 (GPIO_BASE + 0x5014U)
109#define GPIO_INTMSK5 (GPIO_BASE + 0x5018U)
110#define GPIO_MSKCLR5 (GPIO_BASE + 0x501CU)
111#define GPIO_POSNEG5 (GPIO_BASE + 0x5020U)
112#define GPIO_EDGLEVEL5 (GPIO_BASE + 0x5024U)
113#define GPIO_FILONOFF5 (GPIO_BASE + 0x5028U)
114#define GPIO_INTMSKS5 (GPIO_BASE + 0x5038U)
115#define GPIO_MSKCLRS5 (GPIO_BASE + 0x503CU)
116#define GPIO_OUTDTSEL5 (GPIO_BASE + 0x5040U)
117#define GPIO_OUTDTH5 (GPIO_BASE + 0x5044U)
118#define GPIO_OUTDTL5 (GPIO_BASE + 0x5048U)
119#define GPIO_BOTHEDGE5 (GPIO_BASE + 0x504CU)
120#define GPIO_IOINTSEL6 (GPIO_BASE + 0x5400U)
121#define GPIO_INOUTSEL6 (GPIO_BASE + 0x5404U)
122#define GPIO_OUTDT6 (GPIO_BASE + 0x5408U)
123#define GPIO_INDT6 (GPIO_BASE + 0x540CU)
124#define GPIO_INTDT6 (GPIO_BASE + 0x5410U)
125#define GPIO_INTCLR6 (GPIO_BASE + 0x5414U)
126#define GPIO_INTMSK6 (GPIO_BASE + 0x5418U)
127#define GPIO_MSKCLR6 (GPIO_BASE + 0x541CU)
128#define GPIO_POSNEG6 (GPIO_BASE + 0x5420U)
129#define GPIO_EDGLEVEL6 (GPIO_BASE + 0x5424U)
130#define GPIO_FILONOFF6 (GPIO_BASE + 0x5428U)
131#define GPIO_INTMSKS6 (GPIO_BASE + 0x5438U)
132#define GPIO_MSKCLRS6 (GPIO_BASE + 0x543CU)
133#define GPIO_OUTDTSEL6 (GPIO_BASE + 0x5440U)
134#define GPIO_OUTDTH6 (GPIO_BASE + 0x5444U)
135#define GPIO_OUTDTL6 (GPIO_BASE + 0x5448U)
136#define GPIO_BOTHEDGE6 (GPIO_BASE + 0x544CU)
137#define GPIO_IOINTSEL7 (GPIO_BASE + 0x5800U)
138#define GPIO_INOUTSEL7 (GPIO_BASE + 0x5804U)
139#define GPIO_OUTDT7 (GPIO_BASE + 0x5808U)
140#define GPIO_INDT7 (GPIO_BASE + 0x580CU)
141#define GPIO_INTDT7 (GPIO_BASE + 0x5810U)
142#define GPIO_INTCLR7 (GPIO_BASE + 0x5814U)
143#define GPIO_INTMSK7 (GPIO_BASE + 0x5818U)
144#define GPIO_MSKCLR7 (GPIO_BASE + 0x581CU)
145#define GPIO_POSNEG7 (GPIO_BASE + 0x5820U)
146#define GPIO_EDGLEVEL7 (GPIO_BASE + 0x5824U)
147#define GPIO_FILONOFF7 (GPIO_BASE + 0x5828U)
148#define GPIO_INTMSKS7 (GPIO_BASE + 0x5838U)
149#define GPIO_MSKCLRS7 (GPIO_BASE + 0x583CU)
150#define GPIO_OUTDTSEL7 (GPIO_BASE + 0x5840U)
151#define GPIO_OUTDTH7 (GPIO_BASE + 0x5844U)
152#define GPIO_OUTDTL7 (GPIO_BASE + 0x5848U)
153#define GPIO_BOTHEDGE7 (GPIO_BASE + 0x584CU)
154
155/* Pin functon base address */
156#define PFC_BASE (0xE6060000U)
157
158/* Pin functon registers */
159#define PFC_PMMR (PFC_BASE + 0x0000U)
160#define PFC_GPSR0 (PFC_BASE + 0x0100U)
161#define PFC_GPSR1 (PFC_BASE + 0x0104U)
162#define PFC_GPSR2 (PFC_BASE + 0x0108U)
163#define PFC_GPSR3 (PFC_BASE + 0x010CU)
164#define PFC_GPSR4 (PFC_BASE + 0x0110U)
165#define PFC_GPSR5 (PFC_BASE + 0x0114U)
166#define PFC_GPSR6 (PFC_BASE + 0x0118U)
167#define PFC_GPSR7 (PFC_BASE + 0x011CU)
168#define PFC_IPSR0 (PFC_BASE + 0x0200U)
169#define PFC_IPSR1 (PFC_BASE + 0x0204U)
170#define PFC_IPSR2 (PFC_BASE + 0x0208U)
171#define PFC_IPSR3 (PFC_BASE + 0x020CU)
172#define PFC_IPSR4 (PFC_BASE + 0x0210U)
173#define PFC_IPSR5 (PFC_BASE + 0x0214U)
174#define PFC_IPSR6 (PFC_BASE + 0x0218U)
175#define PFC_IPSR7 (PFC_BASE + 0x021CU)
176#define PFC_IPSR8 (PFC_BASE + 0x0220U)
177#define PFC_IPSR9 (PFC_BASE + 0x0224U)
178#define PFC_IPSR10 (PFC_BASE + 0x0228U)
179#define PFC_IPSR11 (PFC_BASE + 0x022CU)
180#define PFC_IPSR12 (PFC_BASE + 0x0230U)
181#define PFC_IPSR13 (PFC_BASE + 0x0234U)
182#define PFC_IPSR14 (PFC_BASE + 0x0238U)
183#define PFC_IPSR15 (PFC_BASE + 0x023CU)
184#define PFC_IPSR16 (PFC_BASE + 0x0240U)
185#define PFC_IPSR17 (PFC_BASE + 0x0244U)
186#define PFC_IPSR18 (PFC_BASE + 0x0248U)
187#define PFC_DRVCTRL0 (PFC_BASE + 0x0300U)
188#define PFC_DRVCTRL1 (PFC_BASE + 0x0304U)
189#define PFC_DRVCTRL2 (PFC_BASE + 0x0308U)
190#define PFC_DRVCTRL3 (PFC_BASE + 0x030CU)
191#define PFC_DRVCTRL4 (PFC_BASE + 0x0310U)
192#define PFC_DRVCTRL5 (PFC_BASE + 0x0314U)
193#define PFC_DRVCTRL6 (PFC_BASE + 0x0318U)
194#define PFC_DRVCTRL7 (PFC_BASE + 0x031CU)
195#define PFC_DRVCTRL8 (PFC_BASE + 0x0320U)
196#define PFC_DRVCTRL9 (PFC_BASE + 0x0324U)
197#define PFC_DRVCTRL10 (PFC_BASE + 0x0328U)
198#define PFC_DRVCTRL11 (PFC_BASE + 0x032CU)
199#define PFC_DRVCTRL12 (PFC_BASE + 0x0330U)
200#define PFC_DRVCTRL13 (PFC_BASE + 0x0334U)
201#define PFC_DRVCTRL14 (PFC_BASE + 0x0338U)
202#define PFC_DRVCTRL15 (PFC_BASE + 0x033CU)
203#define PFC_DRVCTRL16 (PFC_BASE + 0x0340U)
204#define PFC_DRVCTRL17 (PFC_BASE + 0x0344U)
205#define PFC_DRVCTRL18 (PFC_BASE + 0x0348U)
206#define PFC_DRVCTRL19 (PFC_BASE + 0x034CU)
207#define PFC_DRVCTRL20 (PFC_BASE + 0x0350U)
208#define PFC_DRVCTRL21 (PFC_BASE + 0x0354U)
209#define PFC_DRVCTRL22 (PFC_BASE + 0x0358U)
210#define PFC_DRVCTRL23 (PFC_BASE + 0x035CU)
211#define PFC_DRVCTRL24 (PFC_BASE + 0x0360U)
212#define PFC_POCCTRL0 (PFC_BASE + 0x0380U)
213#define PFC_TDSELCTRL0 (PFC_BASE + 0x03C0U)
214#define PFC_IOCTRL (PFC_BASE + 0x03E0U)
215#define PFC_TSREG (PFC_BASE + 0x03E4U)
216#define PFC_PUEN0 (PFC_BASE + 0x0400U)
217#define PFC_PUEN1 (PFC_BASE + 0x0404U)
218#define PFC_PUEN2 (PFC_BASE + 0x0408U)
219#define PFC_PUEN3 (PFC_BASE + 0x040CU)
220#define PFC_PUEN4 (PFC_BASE + 0x0410U)
221#define PFC_PUEN5 (PFC_BASE + 0x0414U)
222#define PFC_PUEN6 (PFC_BASE + 0x0418U)
223#define PFC_PUD0 (PFC_BASE + 0x0440U)
224#define PFC_PUD1 (PFC_BASE + 0x0444U)
225#define PFC_PUD2 (PFC_BASE + 0x0448U)
226#define PFC_PUD3 (PFC_BASE + 0x044CU)
227#define PFC_PUD4 (PFC_BASE + 0x0450U)
228#define PFC_PUD5 (PFC_BASE + 0x0454U)
229#define PFC_PUD6 (PFC_BASE + 0x0458U)
230#define PFC_MOD_SEL0 (PFC_BASE + 0x0500U)
231#define PFC_MOD_SEL1 (PFC_BASE + 0x0504U)
232#define PFC_MOD_SEL2 (PFC_BASE + 0x0508U)
233
234#define GPSR0_D15 ((uint32_t)1U << 15U)
235#define GPSR0_D14 ((uint32_t)1U << 14U)
236#define GPSR0_D13 ((uint32_t)1U << 13U)
237#define GPSR0_D12 ((uint32_t)1U << 12U)
238#define GPSR0_D11 ((uint32_t)1U << 11U)
239#define GPSR0_D10 ((uint32_t)1U << 10U)
240#define GPSR0_D9 ((uint32_t)1U << 9U)
241#define GPSR0_D8 ((uint32_t)1U << 8U)
242#define GPSR0_D7 ((uint32_t)1U << 7U)
243#define GPSR0_D6 ((uint32_t)1U << 6U)
244#define GPSR0_D5 ((uint32_t)1U << 5U)
245#define GPSR0_D4 ((uint32_t)1U << 4U)
246#define GPSR0_D3 ((uint32_t)1U << 3U)
247#define GPSR0_D2 ((uint32_t)1U << 2U)
248#define GPSR0_D1 ((uint32_t)1U << 1U)
249#define GPSR0_D0 ((uint32_t)1U << 0U)
250#define GPSR1_CLKOUT ((uint32_t)1U << 28U)
251#define GPSR1_EX_WAIT0_A ((uint32_t)1U << 27U)
252#define GPSR1_WE1 ((uint32_t)1U << 26U)
253#define GPSR1_WE0 ((uint32_t)1U << 25U)
254#define GPSR1_RD_WR ((uint32_t)1U << 24U)
255#define GPSR1_RD ((uint32_t)1U << 23U)
256#define GPSR1_BS ((uint32_t)1U << 22U)
257#define GPSR1_CS1_A26 ((uint32_t)1U << 21U)
258#define GPSR1_CS0 ((uint32_t)1U << 20U)
259#define GPSR1_A19 ((uint32_t)1U << 19U)
260#define GPSR1_A18 ((uint32_t)1U << 18U)
261#define GPSR1_A17 ((uint32_t)1U << 17U)
262#define GPSR1_A16 ((uint32_t)1U << 16U)
263#define GPSR1_A15 ((uint32_t)1U << 15U)
264#define GPSR1_A14 ((uint32_t)1U << 14U)
265#define GPSR1_A13 ((uint32_t)1U << 13U)
266#define GPSR1_A12 ((uint32_t)1U << 12U)
267#define GPSR1_A11 ((uint32_t)1U << 11U)
268#define GPSR1_A10 ((uint32_t)1U << 10U)
269#define GPSR1_A9 ((uint32_t)1U << 9U)
270#define GPSR1_A8 ((uint32_t)1U << 8U)
271#define GPSR1_A7 ((uint32_t)1U << 7U)
272#define GPSR1_A6 ((uint32_t)1U << 6U)
273#define GPSR1_A5 ((uint32_t)1U << 5U)
274#define GPSR1_A4 ((uint32_t)1U << 4U)
275#define GPSR1_A3 ((uint32_t)1U << 3U)
276#define GPSR1_A2 ((uint32_t)1U << 2U)
277#define GPSR1_A1 ((uint32_t)1U << 1U)
278#define GPSR1_A0 ((uint32_t)1U << 0U)
279#define GPSR2_AVB_AVTP_CAPTURE_A ((uint32_t)1U << 14U)
280#define GPSR2_AVB_AVTP_MATCH_A ((uint32_t)1U << 13U)
281#define GPSR2_AVB_LINK ((uint32_t)1U << 12U)
282#define GPSR2_AVB_PHY_INT ((uint32_t)1U << 11U)
283#define GPSR2_AVB_MAGIC ((uint32_t)1U << 10U)
284#define GPSR2_AVB_MDC ((uint32_t)1U << 9U)
285#define GPSR2_PWM2_A ((uint32_t)1U << 8U)
286#define GPSR2_PWM1_A ((uint32_t)1U << 7U)
287#define GPSR2_PWM0 ((uint32_t)1U << 6U)
288#define GPSR2_IRQ5 ((uint32_t)1U << 5U)
289#define GPSR2_IRQ4 ((uint32_t)1U << 4U)
290#define GPSR2_IRQ3 ((uint32_t)1U << 3U)
291#define GPSR2_IRQ2 ((uint32_t)1U << 2U)
292#define GPSR2_IRQ1 ((uint32_t)1U << 1U)
293#define GPSR2_IRQ0 ((uint32_t)1U << 0U)
294#define GPSR3_SD1_WP ((uint32_t)1U << 15U)
295#define GPSR3_SD1_CD ((uint32_t)1U << 14U)
296#define GPSR3_SD0_WP ((uint32_t)1U << 13U)
297#define GPSR3_SD0_CD ((uint32_t)1U << 12U)
298#define GPSR3_SD1_DAT3 ((uint32_t)1U << 11U)
299#define GPSR3_SD1_DAT2 ((uint32_t)1U << 10U)
300#define GPSR3_SD1_DAT1 ((uint32_t)1U << 9U)
301#define GPSR3_SD1_DAT0 ((uint32_t)1U << 8U)
302#define GPSR3_SD1_CMD ((uint32_t)1U << 7U)
303#define GPSR3_SD1_CLK ((uint32_t)1U << 6U)
304#define GPSR3_SD0_DAT3 ((uint32_t)1U << 5U)
305#define GPSR3_SD0_DAT2 ((uint32_t)1U << 4U)
306#define GPSR3_SD0_DAT1 ((uint32_t)1U << 3U)
307#define GPSR3_SD0_DAT0 ((uint32_t)1U << 2U)
308#define GPSR3_SD0_CMD ((uint32_t)1U << 1U)
309#define GPSR3_SD0_CLK ((uint32_t)1U << 0U)
310#define GPSR4_SD3_DS ((uint32_t)1U << 17U)
311#define GPSR4_SD3_DAT7 ((uint32_t)1U << 16U)
312#define GPSR4_SD3_DAT6 ((uint32_t)1U << 15U)
313#define GPSR4_SD3_DAT5 ((uint32_t)1U << 14U)
314#define GPSR4_SD3_DAT4 ((uint32_t)1U << 13U)
315#define GPSR4_SD3_DAT3 ((uint32_t)1U << 12U)
316#define GPSR4_SD3_DAT2 ((uint32_t)1U << 11U)
317#define GPSR4_SD3_DAT1 ((uint32_t)1U << 10U)
318#define GPSR4_SD3_DAT0 ((uint32_t)1U << 9U)
319#define GPSR4_SD3_CMD ((uint32_t)1U << 8U)
320#define GPSR4_SD3_CLK ((uint32_t)1U << 7U)
321#define GPSR4_SD2_DS ((uint32_t)1U << 6U)
322#define GPSR4_SD2_DAT3 ((uint32_t)1U << 5U)
323#define GPSR4_SD2_DAT2 ((uint32_t)1U << 4U)
324#define GPSR4_SD2_DAT1 ((uint32_t)1U << 3U)
325#define GPSR4_SD2_DAT0 ((uint32_t)1U << 2U)
326#define GPSR4_SD2_CMD ((uint32_t)1U << 1U)
327#define GPSR4_SD2_CLK ((uint32_t)1U << 0U)
328#define GPSR5_MLB_DAT ((uint32_t)1U << 25U)
329#define GPSR5_MLB_SIG ((uint32_t)1U << 24U)
330#define GPSR5_MLB_CLK ((uint32_t)1U << 23U)
331#define GPSR5_MSIOF0_RXD ((uint32_t)1U << 22U)
332#define GPSR5_MSIOF0_SS2 ((uint32_t)1U << 21U)
333#define GPSR5_MSIOF0_TXD ((uint32_t)1U << 20U)
334#define GPSR5_MSIOF0_SS1 ((uint32_t)1U << 19U)
335#define GPSR5_MSIOF0_SYNC ((uint32_t)1U << 18U)
336#define GPSR5_MSIOF0_SCK ((uint32_t)1U << 17U)
337#define GPSR5_HRTS0 ((uint32_t)1U << 16U)
338#define GPSR5_HCTS0 ((uint32_t)1U << 15U)
339#define GPSR5_HTX0 ((uint32_t)1U << 14U)
340#define GPSR5_HRX0 ((uint32_t)1U << 13U)
341#define GPSR5_HSCK0 ((uint32_t)1U << 12U)
342#define GPSR5_RX2_A ((uint32_t)1U << 11U)
343#define GPSR5_TX2_A ((uint32_t)1U << 10U)
344#define GPSR5_SCK2 ((uint32_t)1U << 9U)
345#define GPSR5_RTS1_TANS ((uint32_t)1U << 8U)
346#define GPSR5_CTS1 ((uint32_t)1U << 7U)
347#define GPSR5_TX1_A ((uint32_t)1U << 6U)
348#define GPSR5_RX1_A ((uint32_t)1U << 5U)
349#define GPSR5_RTS0_TANS ((uint32_t)1U << 4U)
350#define GPSR5_CTS0 ((uint32_t)1U << 3U)
351#define GPSR5_TX0 ((uint32_t)1U << 2U)
352#define GPSR5_RX0 ((uint32_t)1U << 1U)
353#define GPSR5_SCK0 ((uint32_t)1U << 0U)
354#define GPSR6_USB31_OVC ((uint32_t)1U << 31U)
355#define GPSR6_USB31_PWEN ((uint32_t)1U << 30U)
356#define GPSR6_USB30_OVC ((uint32_t)1U << 29U)
357#define GPSR6_USB30_PWEN ((uint32_t)1U << 28U)
358#define GPSR6_USB1_OVC ((uint32_t)1U << 27U)
359#define GPSR6_USB1_PWEN ((uint32_t)1U << 26U)
360#define GPSR6_USB0_OVC ((uint32_t)1U << 25U)
361#define GPSR6_USB0_PWEN ((uint32_t)1U << 24U)
362#define GPSR6_AUDIO_CLKB_B ((uint32_t)1U << 23U)
363#define GPSR6_AUDIO_CLKA_A ((uint32_t)1U << 22U)
364#define GPSR6_SSI_SDATA9_A ((uint32_t)1U << 21U)
365#define GPSR6_SSI_SDATA8 ((uint32_t)1U << 20U)
366#define GPSR6_SSI_SDATA7 ((uint32_t)1U << 19U)
367#define GPSR6_SSI_WS78 ((uint32_t)1U << 18U)
368#define GPSR6_SSI_SCK78 ((uint32_t)1U << 17U)
369#define GPSR6_SSI_SDATA6 ((uint32_t)1U << 16U)
370#define GPSR6_SSI_WS6 ((uint32_t)1U << 15U)
371#define GPSR6_SSI_SCK6 ((uint32_t)1U << 14U)
372#define GPSR6_SSI_SDATA5 ((uint32_t)1U << 13U)
373#define GPSR6_SSI_WS5 ((uint32_t)1U << 12U)
374#define GPSR6_SSI_SCK5 ((uint32_t)1U << 11U)
375#define GPSR6_SSI_SDATA4 ((uint32_t)1U << 10U)
376#define GPSR6_SSI_WS4 ((uint32_t)1U << 9U)
377#define GPSR6_SSI_SCK4 ((uint32_t)1U << 8U)
378#define GPSR6_SSI_SDATA3 ((uint32_t)1U << 7U)
379#define GPSR6_SSI_WS34 ((uint32_t)1U << 6U)
380#define GPSR6_SSI_SCK34 ((uint32_t)1U << 5U)
381#define GPSR6_SSI_SDATA2_A ((uint32_t)1U << 4U)
382#define GPSR6_SSI_SDATA1_A ((uint32_t)1U << 3U)
383#define GPSR6_SSI_SDATA0 ((uint32_t)1U << 2U)
384#define GPSR6_SSI_WS0129 ((uint32_t)1U << 1U)
385#define GPSR6_SSI_SCK0129 ((uint32_t)1U << 0U)
386#define GPSR7_HDMI1_CEC ((uint32_t)1U << 3U)
387#define GPSR7_HDMI0_CEC ((uint32_t)1U << 2U)
388#define GPSR7_AVS2 ((uint32_t)1U << 1U)
389#define GPSR7_AVS1 ((uint32_t)1U << 0U)
390
391#define IPSR_28_FUNC(x) ((uint32_t)(x) << 28U)
392#define IPSR_24_FUNC(x) ((uint32_t)(x) << 24U)
393#define IPSR_20_FUNC(x) ((uint32_t)(x) << 20U)
394#define IPSR_16_FUNC(x) ((uint32_t)(x) << 16U)
395#define IPSR_12_FUNC(x) ((uint32_t)(x) << 12U)
396#define IPSR_8_FUNC(x) ((uint32_t)(x) << 8U)
397#define IPSR_4_FUNC(x) ((uint32_t)(x) << 4U)
398#define IPSR_0_FUNC(x) ((uint32_t)(x) << 0U)
399
400#define POC_SD3_DS_33V ((uint32_t)1U << 29U)
401#define POC_SD3_DAT7_33V ((uint32_t)1U << 28U)
402#define POC_SD3_DAT6_33V ((uint32_t)1U << 27U)
403#define POC_SD3_DAT5_33V ((uint32_t)1U << 26U)
404#define POC_SD3_DAT4_33V ((uint32_t)1U << 25U)
405#define POC_SD3_DAT3_33V ((uint32_t)1U << 24U)
406#define POC_SD3_DAT2_33V ((uint32_t)1U << 23U)
407#define POC_SD3_DAT1_33V ((uint32_t)1U << 22U)
408#define POC_SD3_DAT0_33V ((uint32_t)1U << 21U)
409#define POC_SD3_CMD_33V ((uint32_t)1U << 20U)
410#define POC_SD3_CLK_33V ((uint32_t)1U << 19U)
411#define POC_SD2_DS_33V ((uint32_t)1U << 18U)
412#define POC_SD2_DAT3_33V ((uint32_t)1U << 17U)
413#define POC_SD2_DAT2_33V ((uint32_t)1U << 16U)
414#define POC_SD2_DAT1_33V ((uint32_t)1U << 15U)
415#define POC_SD2_DAT0_33V ((uint32_t)1U << 14U)
416#define POC_SD2_CMD_33V ((uint32_t)1U << 13U)
417#define POC_SD2_CLK_33V ((uint32_t)1U << 12U)
418#define POC_SD1_DAT3_33V ((uint32_t)1U << 11U)
419#define POC_SD1_DAT2_33V ((uint32_t)1U << 10U)
420#define POC_SD1_DAT1_33V ((uint32_t)1U << 9U)
421#define POC_SD1_DAT0_33V ((uint32_t)1U << 8U)
422#define POC_SD1_CMD_33V ((uint32_t)1U << 7U)
423#define POC_SD1_CLK_33V ((uint32_t)1U << 6U)
424#define POC_SD0_DAT3_33V ((uint32_t)1U << 5U)
425#define POC_SD0_DAT2_33V ((uint32_t)1U << 4U)
426#define POC_SD0_DAT1_33V ((uint32_t)1U << 3U)
427#define POC_SD0_DAT0_33V ((uint32_t)1U << 2U)
428#define POC_SD0_CMD_33V ((uint32_t)1U << 1U)
429#define POC_SD0_CLK_33V ((uint32_t)1U << 0U)
430
431#define DRVCTRL0_MASK (0xCCCCCCCCU)
432#define DRVCTRL1_MASK (0xCCCCCCC8U)
433#define DRVCTRL2_MASK (0x88888888U)
434#define DRVCTRL3_MASK (0x88888888U)
435#define DRVCTRL4_MASK (0x88888888U)
436#define DRVCTRL5_MASK (0x88888888U)
437#define DRVCTRL6_MASK (0x88888888U)
438#define DRVCTRL7_MASK (0x88888888U)
439#define DRVCTRL8_MASK (0x88888888U)
440#define DRVCTRL9_MASK (0x88888888U)
441#define DRVCTRL10_MASK (0x88888888U)
442#define DRVCTRL11_MASK (0x888888CCU)
443#define DRVCTRL12_MASK (0xCCCFFFCFU)
444#define DRVCTRL13_MASK (0xCC888888U)
445#define DRVCTRL14_MASK (0x88888888U)
446#define DRVCTRL15_MASK (0x88888888U)
447#define DRVCTRL16_MASK (0x88888888U)
448#define DRVCTRL17_MASK (0x88888888U)
449#define DRVCTRL18_MASK (0x88888888U)
450#define DRVCTRL19_MASK (0x88888888U)
451#define DRVCTRL20_MASK (0x88888888U)
452#define DRVCTRL21_MASK (0x88888888U)
453#define DRVCTRL22_MASK (0x88888888U)
454#define DRVCTRL23_MASK (0x88888888U)
455#define DRVCTRL24_MASK (0x8888888FU)
456
457#define DRVCTRL0_QSPI0_SPCLK(x) ((uint32_t)(x) << 28U)
458#define DRVCTRL0_QSPI0_MOSI_IO0(x) ((uint32_t)(x) << 24U)
459#define DRVCTRL0_QSPI0_MISO_IO1(x) ((uint32_t)(x) << 20U)
460#define DRVCTRL0_QSPI0_IO2(x) ((uint32_t)(x) << 16U)
461#define DRVCTRL0_QSPI0_IO3(x) ((uint32_t)(x) << 12U)
462#define DRVCTRL0_QSPI0_SSL(x) ((uint32_t)(x) << 8U)
463#define DRVCTRL0_QSPI1_SPCLK(x) ((uint32_t)(x) << 4U)
464#define DRVCTRL0_QSPI1_MOSI_IO0(x) ((uint32_t)(x) << 0U)
465#define DRVCTRL1_QSPI1_MISO_IO1(x) ((uint32_t)(x) << 28U)
466#define DRVCTRL1_QSPI1_IO2(x) ((uint32_t)(x) << 24U)
467#define DRVCTRL1_QSPI1_IO3(x) ((uint32_t)(x) << 20U)
468#define DRVCTRL1_QSPI1_SS(x) ((uint32_t)(x) << 16U)
469#define DRVCTRL1_RPC_INT(x) ((uint32_t)(x) << 12U)
470#define DRVCTRL1_RPC_WP(x) ((uint32_t)(x) << 8U)
471#define DRVCTRL1_RPC_RESET(x) ((uint32_t)(x) << 4U)
472#define DRVCTRL1_AVB_RX_CTL(x) ((uint32_t)(x) << 0U)
473#define DRVCTRL2_AVB_RXC(x) ((uint32_t)(x) << 28U)
474#define DRVCTRL2_AVB_RD0(x) ((uint32_t)(x) << 24U)
475#define DRVCTRL2_AVB_RD1(x) ((uint32_t)(x) << 20U)
476#define DRVCTRL2_AVB_RD2(x) ((uint32_t)(x) << 16U)
477#define DRVCTRL2_AVB_RD3(x) ((uint32_t)(x) << 12U)
478#define DRVCTRL2_AVB_TX_CTL(x) ((uint32_t)(x) << 8U)
479#define DRVCTRL2_AVB_TXC(x) ((uint32_t)(x) << 4U)
480#define DRVCTRL2_AVB_TD0(x) ((uint32_t)(x) << 0U)
481#define DRVCTRL3_AVB_TD1(x) ((uint32_t)(x) << 28U)
482#define DRVCTRL3_AVB_TD2(x) ((uint32_t)(x) << 24U)
483#define DRVCTRL3_AVB_TD3(x) ((uint32_t)(x) << 20U)
484#define DRVCTRL3_AVB_TXCREFCLK(x) ((uint32_t)(x) << 16U)
485#define DRVCTRL3_AVB_MDIO(x) ((uint32_t)(x) << 12U)
486#define DRVCTRL3_AVB_MDC(x) ((uint32_t)(x) << 8U)
487#define DRVCTRL3_AVB_MAGIC(x) ((uint32_t)(x) << 4U)
488#define DRVCTRL3_AVB_PHY_INT(x) ((uint32_t)(x) << 0U)
489#define DRVCTRL4_AVB_LINK(x) ((uint32_t)(x) << 28U)
490#define DRVCTRL4_AVB_AVTP_MATCH(x) ((uint32_t)(x) << 24U)
491#define DRVCTRL4_AVB_AVTP_CAPTURE(x) ((uint32_t)(x) << 20U)
492#define DRVCTRL4_IRQ0(x) ((uint32_t)(x) << 16U)
493#define DRVCTRL4_IRQ1(x) ((uint32_t)(x) << 12U)
494#define DRVCTRL4_IRQ2(x) ((uint32_t)(x) << 8U)
495#define DRVCTRL4_IRQ3(x) ((uint32_t)(x) << 4U)
496#define DRVCTRL4_IRQ4(x) ((uint32_t)(x) << 0U)
497#define DRVCTRL5_IRQ5(x) ((uint32_t)(x) << 28U)
498#define DRVCTRL5_PWM0(x) ((uint32_t)(x) << 24U)
499#define DRVCTRL5_PWM1(x) ((uint32_t)(x) << 20U)
500#define DRVCTRL5_PWM2(x) ((uint32_t)(x) << 16U)
501#define DRVCTRL5_A0(x) ((uint32_t)(x) << 12U)
502#define DRVCTRL5_A1(x) ((uint32_t)(x) << 8U)
503#define DRVCTRL5_A2(x) ((uint32_t)(x) << 4U)
504#define DRVCTRL5_A3(x) ((uint32_t)(x) << 0U)
505#define DRVCTRL6_A4(x) ((uint32_t)(x) << 28U)
506#define DRVCTRL6_A5(x) ((uint32_t)(x) << 24U)
507#define DRVCTRL6_A6(x) ((uint32_t)(x) << 20U)
508#define DRVCTRL6_A7(x) ((uint32_t)(x) << 16U)
509#define DRVCTRL6_A8(x) ((uint32_t)(x) << 12U)
510#define DRVCTRL6_A9(x) ((uint32_t)(x) << 8U)
511#define DRVCTRL6_A10(x) ((uint32_t)(x) << 4U)
512#define DRVCTRL6_A11(x) ((uint32_t)(x) << 0U)
513#define DRVCTRL7_A12(x) ((uint32_t)(x) << 28U)
514#define DRVCTRL7_A13(x) ((uint32_t)(x) << 24U)
515#define DRVCTRL7_A14(x) ((uint32_t)(x) << 20U)
516#define DRVCTRL7_A15(x) ((uint32_t)(x) << 16U)
517#define DRVCTRL7_A16(x) ((uint32_t)(x) << 12U)
518#define DRVCTRL7_A17(x) ((uint32_t)(x) << 8U)
519#define DRVCTRL7_A18(x) ((uint32_t)(x) << 4U)
520#define DRVCTRL7_A19(x) ((uint32_t)(x) << 0U)
521#define DRVCTRL8_CLKOUT(x) ((uint32_t)(x) << 28U)
522#define DRVCTRL8_CS0(x) ((uint32_t)(x) << 24U)
523#define DRVCTRL8_CS1_A2(x) ((uint32_t)(x) << 20U)
524#define DRVCTRL8_BS(x) ((uint32_t)(x) << 16U)
525#define DRVCTRL8_RD(x) ((uint32_t)(x) << 12U)
526#define DRVCTRL8_RD_W(x) ((uint32_t)(x) << 8U)
527#define DRVCTRL8_WE0(x) ((uint32_t)(x) << 4U)
528#define DRVCTRL8_WE1(x) ((uint32_t)(x) << 0U)
529#define DRVCTRL9_EX_WAIT0(x) ((uint32_t)(x) << 28U)
530#define DRVCTRL9_PRESETOU(x) ((uint32_t)(x) << 24U)
531#define DRVCTRL9_D0(x) ((uint32_t)(x) << 20U)
532#define DRVCTRL9_D1(x) ((uint32_t)(x) << 16U)
533#define DRVCTRL9_D2(x) ((uint32_t)(x) << 12U)
534#define DRVCTRL9_D3(x) ((uint32_t)(x) << 8U)
535#define DRVCTRL9_D4(x) ((uint32_t)(x) << 4U)
536#define DRVCTRL9_D5(x) ((uint32_t)(x) << 0U)
537#define DRVCTRL10_D6(x) ((uint32_t)(x) << 28U)
538#define DRVCTRL10_D7(x) ((uint32_t)(x) << 24U)
539#define DRVCTRL10_D8(x) ((uint32_t)(x) << 20U)
540#define DRVCTRL10_D9(x) ((uint32_t)(x) << 16U)
541#define DRVCTRL10_D10(x) ((uint32_t)(x) << 12U)
542#define DRVCTRL10_D11(x) ((uint32_t)(x) << 8U)
543#define DRVCTRL10_D12(x) ((uint32_t)(x) << 4U)
544#define DRVCTRL10_D13(x) ((uint32_t)(x) << 0U)
545#define DRVCTRL11_D14(x) ((uint32_t)(x) << 28U)
546#define DRVCTRL11_D15(x) ((uint32_t)(x) << 24U)
547#define DRVCTRL11_AVS1(x) ((uint32_t)(x) << 20U)
548#define DRVCTRL11_AVS2(x) ((uint32_t)(x) << 16U)
549#define DRVCTRL11_HDMI0_CEC(x) ((uint32_t)(x) << 12U)
550#define DRVCTRL11_HDMI1_CEC(x) ((uint32_t)(x) << 8U)
551#define DRVCTRL11_DU_DOTCLKIN0(x) ((uint32_t)(x) << 4U)
552#define DRVCTRL11_DU_DOTCLKIN1(x) ((uint32_t)(x) << 0U)
553#define DRVCTRL12_DU_DOTCLKIN2(x) ((uint32_t)(x) << 28U)
554#define DRVCTRL12_DU_DOTCLKIN3(x) ((uint32_t)(x) << 24U)
555#define DRVCTRL12_DU_FSCLKST(x) ((uint32_t)(x) << 20U)
556#define DRVCTRL12_DU_TMS(x) ((uint32_t)(x) << 4U)
557#define DRVCTRL13_TDO(x) ((uint32_t)(x) << 28U)
558#define DRVCTRL13_ASEBRK(x) ((uint32_t)(x) << 24U)
559#define DRVCTRL13_SD0_CLK(x) ((uint32_t)(x) << 20U)
560#define DRVCTRL13_SD0_CMD(x) ((uint32_t)(x) << 16U)
561#define DRVCTRL13_SD0_DAT0(x) ((uint32_t)(x) << 12U)
562#define DRVCTRL13_SD0_DAT1(x) ((uint32_t)(x) << 8U)
563#define DRVCTRL13_SD0_DAT2(x) ((uint32_t)(x) << 4U)
564#define DRVCTRL13_SD0_DAT3(x) ((uint32_t)(x) << 0U)
565#define DRVCTRL14_SD1_CLK(x) ((uint32_t)(x) << 28U)
566#define DRVCTRL14_SD1_CMD(x) ((uint32_t)(x) << 24U)
567#define DRVCTRL14_SD1_DAT0(x) ((uint32_t)(x) << 20U)
568#define DRVCTRL14_SD1_DAT1(x) ((uint32_t)(x) << 16U)
569#define DRVCTRL14_SD1_DAT2(x) ((uint32_t)(x) << 12U)
570#define DRVCTRL14_SD1_DAT3(x) ((uint32_t)(x) << 8U)
571#define DRVCTRL14_SD2_CLK(x) ((uint32_t)(x) << 4U)
572#define DRVCTRL14_SD2_CMD(x) ((uint32_t)(x) << 0U)
573#define DRVCTRL15_SD2_DAT0(x) ((uint32_t)(x) << 28U)
574#define DRVCTRL15_SD2_DAT1(x) ((uint32_t)(x) << 24U)
575#define DRVCTRL15_SD2_DAT2(x) ((uint32_t)(x) << 20U)
576#define DRVCTRL15_SD2_DAT3(x) ((uint32_t)(x) << 16U)
577#define DRVCTRL15_SD2_DS(x) ((uint32_t)(x) << 12U)
578#define DRVCTRL15_SD3_CLK(x) ((uint32_t)(x) << 8U)
579#define DRVCTRL15_SD3_CMD(x) ((uint32_t)(x) << 4U)
580#define DRVCTRL15_SD3_DAT0(x) ((uint32_t)(x) << 0U)
581#define DRVCTRL16_SD3_DAT1(x) ((uint32_t)(x) << 28U)
582#define DRVCTRL16_SD3_DAT2(x) ((uint32_t)(x) << 24U)
583#define DRVCTRL16_SD3_DAT3(x) ((uint32_t)(x) << 20U)
584#define DRVCTRL16_SD3_DAT4(x) ((uint32_t)(x) << 16U)
585#define DRVCTRL16_SD3_DAT5(x) ((uint32_t)(x) << 12U)
586#define DRVCTRL16_SD3_DAT6(x) ((uint32_t)(x) << 8U)
587#define DRVCTRL16_SD3_DAT7(x) ((uint32_t)(x) << 4U)
588#define DRVCTRL16_SD3_DS(x) ((uint32_t)(x) << 0U)
589#define DRVCTRL17_SD0_CD(x) ((uint32_t)(x) << 28U)
590#define DRVCTRL17_SD0_WP(x) ((uint32_t)(x) << 24U)
591#define DRVCTRL17_SD1_CD(x) ((uint32_t)(x) << 20U)
592#define DRVCTRL17_SD1_WP(x) ((uint32_t)(x) << 16U)
593#define DRVCTRL17_SCK0(x) ((uint32_t)(x) << 12U)
594#define DRVCTRL17_RX0(x) ((uint32_t)(x) << 8U)
595#define DRVCTRL17_TX0(x) ((uint32_t)(x) << 4U)
596#define DRVCTRL17_CTS0(x) ((uint32_t)(x) << 0U)
597#define DRVCTRL18_RTS0_TANS(x) ((uint32_t)(x) << 28U)
598#define DRVCTRL18_RX1(x) ((uint32_t)(x) << 24U)
599#define DRVCTRL18_TX1(x) ((uint32_t)(x) << 20U)
600#define DRVCTRL18_CTS1(x) ((uint32_t)(x) << 16U)
601#define DRVCTRL18_RTS1_TANS(x) ((uint32_t)(x) << 12U)
602#define DRVCTRL18_SCK2(x) ((uint32_t)(x) << 8U)
603#define DRVCTRL18_TX2(x) ((uint32_t)(x) << 4U)
604#define DRVCTRL18_RX2(x) ((uint32_t)(x) << 0U)
605#define DRVCTRL19_HSCK0(x) ((uint32_t)(x) << 28U)
606#define DRVCTRL19_HRX0(x) ((uint32_t)(x) << 24U)
607#define DRVCTRL19_HTX0(x) ((uint32_t)(x) << 20U)
608#define DRVCTRL19_HCTS0(x) ((uint32_t)(x) << 16U)
609#define DRVCTRL19_HRTS0(x) ((uint32_t)(x) << 12U)
610#define DRVCTRL19_MSIOF0_SCK(x) ((uint32_t)(x) << 8U)
611#define DRVCTRL19_MSIOF0_SYNC(x) ((uint32_t)(x) << 4U)
612#define DRVCTRL19_MSIOF0_SS1(x) ((uint32_t)(x) << 0U)
613#define DRVCTRL20_MSIOF0_TXD(x) ((uint32_t)(x) << 28U)
614#define DRVCTRL20_MSIOF0_SS2(x) ((uint32_t)(x) << 24U)
615#define DRVCTRL20_MSIOF0_RXD(x) ((uint32_t)(x) << 20U)
616#define DRVCTRL20_MLB_CLK(x) ((uint32_t)(x) << 16U)
617#define DRVCTRL20_MLB_SIG(x) ((uint32_t)(x) << 12U)
618#define DRVCTRL20_MLB_DAT(x) ((uint32_t)(x) << 8U)
619#define DRVCTRL20_MLB_REF(x) ((uint32_t)(x) << 4U)
620#define DRVCTRL20_SSI_SCK0129(x) ((uint32_t)(x) << 0U)
621#define DRVCTRL21_SSI_WS0129(x) ((uint32_t)(x) << 28U)
622#define DRVCTRL21_SSI_SDATA0(x) ((uint32_t)(x) << 24U)
623#define DRVCTRL21_SSI_SDATA1(x) ((uint32_t)(x) << 20U)
624#define DRVCTRL21_SSI_SDATA2(x) ((uint32_t)(x) << 16U)
625#define DRVCTRL21_SSI_SCK34(x) ((uint32_t)(x) << 12U)
626#define DRVCTRL21_SSI_WS34(x) ((uint32_t)(x) << 8U)
627#define DRVCTRL21_SSI_SDATA3(x) ((uint32_t)(x) << 4U)
628#define DRVCTRL21_SSI_SCK4(x) ((uint32_t)(x) << 0U)
629#define DRVCTRL22_SSI_WS4(x) ((uint32_t)(x) << 28U)
630#define DRVCTRL22_SSI_SDATA4(x) ((uint32_t)(x) << 24U)
631#define DRVCTRL22_SSI_SCK5(x) ((uint32_t)(x) << 20U)
632#define DRVCTRL22_SSI_WS5(x) ((uint32_t)(x) << 16U)
633#define DRVCTRL22_SSI_SDATA5(x) ((uint32_t)(x) << 12U)
634#define DRVCTRL22_SSI_SCK6(x) ((uint32_t)(x) << 8U)
635#define DRVCTRL22_SSI_WS6(x) ((uint32_t)(x) << 4U)
636#define DRVCTRL22_SSI_SDATA6(x) ((uint32_t)(x) << 0U)
637#define DRVCTRL23_SSI_SCK78(x) ((uint32_t)(x) << 28U)
638#define DRVCTRL23_SSI_WS78(x) ((uint32_t)(x) << 24U)
639#define DRVCTRL23_SSI_SDATA7(x) ((uint32_t)(x) << 20U)
640#define DRVCTRL23_SSI_SDATA8(x) ((uint32_t)(x) << 16U)
641#define DRVCTRL23_SSI_SDATA9(x) ((uint32_t)(x) << 12U)
642#define DRVCTRL23_AUDIO_CLKA(x) ((uint32_t)(x) << 8U)
643#define DRVCTRL23_AUDIO_CLKB(x) ((uint32_t)(x) << 4U)
644#define DRVCTRL23_USB0_PWEN(x) ((uint32_t)(x) << 0U)
645#define DRVCTRL24_USB0_OVC(x) ((uint32_t)(x) << 28U)
646#define DRVCTRL24_USB1_PWEN(x) ((uint32_t)(x) << 24U)
647#define DRVCTRL24_USB1_OVC(x) ((uint32_t)(x) << 20U)
648#define DRVCTRL24_USB30_PWEN(x) ((uint32_t)(x) << 16U)
649#define DRVCTRL24_USB30_OVC(x) ((uint32_t)(x) << 12U)
650#define DRVCTRL24_USB31_PWEN(x) ((uint32_t)(x) << 8U)
651#define DRVCTRL24_USB31_OVC(x) ((uint32_t)(x) << 4U)
652
653#define MOD_SEL0_MSIOF3_A ((uint32_t)0U << 29U)
654#define MOD_SEL0_MSIOF3_B ((uint32_t)1U << 29U)
655#define MOD_SEL0_MSIOF3_C ((uint32_t)2U << 29U)
656#define MOD_SEL0_MSIOF3_D ((uint32_t)3U << 29U)
657#define MOD_SEL0_MSIOF3_E ((uint32_t)4U << 29U)
658#define MOD_SEL0_MSIOF2_A ((uint32_t)0U << 27U)
659#define MOD_SEL0_MSIOF2_B ((uint32_t)1U << 27U)
660#define MOD_SEL0_MSIOF2_C ((uint32_t)2U << 27U)
661#define MOD_SEL0_MSIOF2_D ((uint32_t)3U << 27U)
662#define MOD_SEL0_MSIOF1_A ((uint32_t)0U << 24U)
663#define MOD_SEL0_MSIOF1_B ((uint32_t)1U << 24U)
664#define MOD_SEL0_MSIOF1_C ((uint32_t)2U << 24U)
665#define MOD_SEL0_MSIOF1_D ((uint32_t)3U << 24U)
666#define MOD_SEL0_MSIOF1_E ((uint32_t)4U << 24U)
667#define MOD_SEL0_MSIOF1_F ((uint32_t)5U << 24U)
668#define MOD_SEL0_MSIOF1_G ((uint32_t)6U << 24U)
669#define MOD_SEL0_LBSC_A ((uint32_t)0U << 23U)
670#define MOD_SEL0_LBSC_B ((uint32_t)1U << 23U)
671#define MOD_SEL0_IEBUS_A ((uint32_t)0U << 22U)
672#define MOD_SEL0_IEBUS_B ((uint32_t)1U << 22U)
673#define MOD_SEL0_I2C2_A ((uint32_t)0U << 21U)
674#define MOD_SEL0_I2C2_B ((uint32_t)1U << 21U)
675#define MOD_SEL0_I2C1_A ((uint32_t)0U << 20U)
676#define MOD_SEL0_I2C1_B ((uint32_t)1U << 20U)
677#define MOD_SEL0_HSCIF4_A ((uint32_t)0U << 19U)
678#define MOD_SEL0_HSCIF4_B ((uint32_t)1U << 19U)
679#define MOD_SEL0_HSCIF3_A ((uint32_t)0U << 17U)
680#define MOD_SEL0_HSCIF3_B ((uint32_t)1U << 17U)
681#define MOD_SEL0_HSCIF3_C ((uint32_t)2U << 17U)
682#define MOD_SEL0_HSCIF3_D ((uint32_t)3U << 17U)
683#define MOD_SEL0_HSCIF1_A ((uint32_t)0U << 16U)
684#define MOD_SEL0_HSCIF1_B ((uint32_t)1U << 16U)
685#define MOD_SEL0_FSO_A ((uint32_t)0U << 15U)
686#define MOD_SEL0_FSO_B ((uint32_t)1U << 15U)
687#define MOD_SEL0_HSCIF2_A ((uint32_t)0U << 13U)
688#define MOD_SEL0_HSCIF2_B ((uint32_t)1U << 13U)
689#define MOD_SEL0_HSCIF2_C ((uint32_t)2U << 13U)
690#define MOD_SEL0_ETHERAVB_A ((uint32_t)0U << 12U)
691#define MOD_SEL0_ETHERAVB_B ((uint32_t)1U << 12U)
692#define MOD_SEL0_DRIF3_A ((uint32_t)0U << 11U)
693#define MOD_SEL0_DRIF3_B ((uint32_t)1U << 11U)
694#define MOD_SEL0_DRIF2_A ((uint32_t)0U << 10U)
695#define MOD_SEL0_DRIF2_B ((uint32_t)1U << 10U)
696#define MOD_SEL0_DRIF1_A ((uint32_t)0U << 8U)
697#define MOD_SEL0_DRIF1_B ((uint32_t)1U << 8U)
698#define MOD_SEL0_DRIF1_C ((uint32_t)2U << 8U)
699#define MOD_SEL0_DRIF0_A ((uint32_t)0U << 6U)
700#define MOD_SEL0_DRIF0_B ((uint32_t)1U << 6U)
701#define MOD_SEL0_DRIF0_C ((uint32_t)2U << 6U)
702#define MOD_SEL0_CANFD0_A ((uint32_t)0U << 5U)
703#define MOD_SEL0_CANFD0_B ((uint32_t)1U << 5U)
704#define MOD_SEL0_ADG_A_A ((uint32_t)0U << 3U)
705#define MOD_SEL0_ADG_A_B ((uint32_t)1U << 3U)
706#define MOD_SEL0_ADG_A_C ((uint32_t)2U << 3U)
707#define MOD_SEL1_TSIF1_A ((uint32_t)0U << 30U)
708#define MOD_SEL1_TSIF1_B ((uint32_t)1U << 30U)
709#define MOD_SEL1_TSIF1_C ((uint32_t)2U << 30U)
710#define MOD_SEL1_TSIF1_D ((uint32_t)3U << 30U)
711#define MOD_SEL1_TSIF0_A ((uint32_t)0U << 27U)
712#define MOD_SEL1_TSIF0_B ((uint32_t)1U << 27U)
713#define MOD_SEL1_TSIF0_C ((uint32_t)2U << 27U)
714#define MOD_SEL1_TSIF0_D ((uint32_t)3U << 27U)
715#define MOD_SEL1_TSIF0_E ((uint32_t)4U << 27U)
716#define MOD_SEL1_TIMER_TMU_A ((uint32_t)0U << 26U)
717#define MOD_SEL1_TIMER_TMU_B ((uint32_t)1U << 26U)
718#define MOD_SEL1_SSP1_1_A ((uint32_t)0U << 24U)
719#define MOD_SEL1_SSP1_1_B ((uint32_t)1U << 24U)
720#define MOD_SEL1_SSP1_1_C ((uint32_t)2U << 24U)
721#define MOD_SEL1_SSP1_1_D ((uint32_t)3U << 24U)
722#define MOD_SEL1_SSP1_0_A ((uint32_t)0U << 21U)
723#define MOD_SEL1_SSP1_0_B ((uint32_t)1U << 21U)
724#define MOD_SEL1_SSP1_0_C ((uint32_t)2U << 21U)
725#define MOD_SEL1_SSP1_0_D ((uint32_t)3U << 21U)
726#define MOD_SEL1_SSP1_0_E ((uint32_t)4U << 21U)
727#define MOD_SEL1_SSI_A ((uint32_t)0U << 20U)
728#define MOD_SEL1_SSI_B ((uint32_t)1U << 20U)
729#define MOD_SEL1_SPEED_PULSE_IF_A ((uint32_t)0U << 19U)
730#define MOD_SEL1_SPEED_PULSE_IF_B ((uint32_t)1U << 19U)
731#define MOD_SEL1_SIMCARD_A ((uint32_t)0U << 17U)
732#define MOD_SEL1_SIMCARD_B ((uint32_t)1U << 17U)
733#define MOD_SEL1_SIMCARD_C ((uint32_t)2U << 17U)
734#define MOD_SEL1_SIMCARD_D ((uint32_t)3U << 17U)
735#define MOD_SEL1_SDHI2_A ((uint32_t)0U << 16U)
736#define MOD_SEL1_SDHI2_B ((uint32_t)1U << 16U)
737#define MOD_SEL1_SCIF4_A ((uint32_t)0U << 14U)
738#define MOD_SEL1_SCIF4_B ((uint32_t)1U << 14U)
739#define MOD_SEL1_SCIF4_C ((uint32_t)2U << 14U)
740#define MOD_SEL1_SCIF3_A ((uint32_t)0U << 13U)
741#define MOD_SEL1_SCIF3_B ((uint32_t)1U << 13U)
742#define MOD_SEL1_SCIF2_A ((uint32_t)0U << 12U)
743#define MOD_SEL1_SCIF2_B ((uint32_t)1U << 12U)
744#define MOD_SEL1_SCIF1_A ((uint32_t)0U << 11U)
745#define MOD_SEL1_SCIF1_B ((uint32_t)1U << 11U)
746#define MOD_SEL1_SCIF_A ((uint32_t)0U << 10U)
747#define MOD_SEL1_SCIF_B ((uint32_t)1U << 10U)
748#define MOD_SEL1_REMOCON_A ((uint32_t)0U << 9U)
749#define MOD_SEL1_REMOCON_B ((uint32_t)1U << 9U)
750#define MOD_SEL1_RCAN0_A ((uint32_t)0U << 6U)
751#define MOD_SEL1_RCAN0_B ((uint32_t)1U << 6U)
752#define MOD_SEL1_PWM6_A ((uint32_t)0U << 5U)
753#define MOD_SEL1_PWM6_B ((uint32_t)1U << 5U)
754#define MOD_SEL1_PWM5_A ((uint32_t)0U << 4U)
755#define MOD_SEL1_PWM5_B ((uint32_t)1U << 4U)
756#define MOD_SEL1_PWM4_A ((uint32_t)0U << 3U)
757#define MOD_SEL1_PWM4_B ((uint32_t)1U << 3U)
758#define MOD_SEL1_PWM3_A ((uint32_t)0U << 2U)
759#define MOD_SEL1_PWM3_B ((uint32_t)1U << 2U)
760#define MOD_SEL1_PWM2_A ((uint32_t)0U << 1U)
761#define MOD_SEL1_PWM2_B ((uint32_t)1U << 1U)
762#define MOD_SEL1_PWM1_A ((uint32_t)0U << 0U)
763#define MOD_SEL1_PWM1_B ((uint32_t)1U << 0U)
764#define MOD_SEL2_I2C_5_A ((uint32_t)0U << 31U)
765#define MOD_SEL2_I2C_5_B ((uint32_t)1U << 31U)
766#define MOD_SEL2_I2C_3_A ((uint32_t)0U << 30U)
767#define MOD_SEL2_I2C_3_B ((uint32_t)1U << 30U)
768#define MOD_SEL2_I2C_0_A ((uint32_t)0U << 29U)
769#define MOD_SEL2_I2C_0_B ((uint32_t)1U << 29U)
770#define MOD_SEL2_FM_A ((uint32_t)0U << 27U)
771#define MOD_SEL2_FM_B ((uint32_t)1U << 27U)
772#define MOD_SEL2_FM_C ((uint32_t)2U << 27U)
773#define MOD_SEL2_FM_D ((uint32_t)3U << 27U)
774#define MOD_SEL2_SCIF5_A ((uint32_t)0U << 26U)
775#define MOD_SEL2_SCIF5_B ((uint32_t)1U << 26U)
776#define MOD_SEL2_I2C6_A ((uint32_t)0U << 23U)
777#define MOD_SEL2_I2C6_B ((uint32_t)1U << 23U)
778#define MOD_SEL2_I2C6_C ((uint32_t)2U << 23U)
779#define MOD_SEL2_NDF_A ((uint32_t)0U << 22U)
780#define MOD_SEL2_NDF_B ((uint32_t)1U << 22U)
781#define MOD_SEL2_SSI2_A ((uint32_t)0U << 21U)
782#define MOD_SEL2_SSI2_B ((uint32_t)1U << 21U)
783#define MOD_SEL2_SSI9_A ((uint32_t)0U << 20U)
784#define MOD_SEL2_SSI9_B ((uint32_t)1U << 20U)
785#define MOD_SEL2_TIMER_TMU2_A ((uint32_t)0U << 19U)
786#define MOD_SEL2_TIMER_TMU2_B ((uint32_t)1U << 19U)
787#define MOD_SEL2_ADG_B_A ((uint32_t)0U << 18U)
788#define MOD_SEL2_ADG_B_B ((uint32_t)1U << 18U)
789#define MOD_SEL2_ADG_C_A ((uint32_t)0U << 17U)
790#define MOD_SEL2_ADG_C_B ((uint32_t)1U << 17U)
791#define MOD_SEL2_VIN4_A ((uint32_t)0U << 0U)
792#define MOD_SEL2_VIN4_B ((uint32_t)1U << 0U)
793
794/* SCIF3 Registers for Dummy write */
795#define SCIF3_BASE (0xE6C50000U)
796#define SCIF3_SCFCR (SCIF3_BASE + 0x0018U)
797#define SCIF3_SCFDR (SCIF3_BASE + 0x001CU)
798#define SCFCR_DATA (0x0000U)
799
800/* Realtime module stop control */
801#define CPG_BASE (0xE6150000U)
802#define CPG_MSTPSR0 (CPG_BASE + 0x0030U)
803#define CPG_RMSTPCR0 (CPG_BASE + 0x0110U)
804#define RMSTPCR0_RTDMAC (0x00200000U)
805
806static void pfc_reg_write(uint32_t addr, uint32_t data);
807
808static void pfc_reg_write(uint32_t addr, uint32_t data)
809{
810 mmio_write_32(PFC_PMMR, ~data);
811 mmio_write_32((uintptr_t) addr, data);
812}
813
814void pfc_init_h3_v2(void)
815{
816 uint32_t reg;
817
818 /* initialize module select */
819 pfc_reg_write(PFC_MOD_SEL0, MOD_SEL0_MSIOF3_A
820 | MOD_SEL0_MSIOF2_A
821 | MOD_SEL0_MSIOF1_A
822 | MOD_SEL0_LBSC_A
823 | MOD_SEL0_IEBUS_A
824 | MOD_SEL0_I2C2_A
825 | MOD_SEL0_I2C1_A
826 | MOD_SEL0_HSCIF4_A
827 | MOD_SEL0_HSCIF3_A
828 | MOD_SEL0_HSCIF1_A
829 | MOD_SEL0_FSO_A
830 | MOD_SEL0_HSCIF2_A
831 | MOD_SEL0_ETHERAVB_A
832 | MOD_SEL0_DRIF3_A
833 | MOD_SEL0_DRIF2_A
834 | MOD_SEL0_DRIF1_A
835 | MOD_SEL0_DRIF0_A
Marek Vasutbda11cb2018-12-12 17:40:10 +0100836 | MOD_SEL0_CANFD0_A
837 | MOD_SEL0_ADG_A_A);
Jorge Ramirez-Ortiz47503d22018-09-23 09:36:52 +0200838 pfc_reg_write(PFC_MOD_SEL1, MOD_SEL1_TSIF1_A
839 | MOD_SEL1_TSIF0_A
840 | MOD_SEL1_TIMER_TMU_A
841 | MOD_SEL1_SSP1_1_A
842 | MOD_SEL1_SSP1_0_A
843 | MOD_SEL1_SSI_A
844 | MOD_SEL1_SPEED_PULSE_IF_A
845 | MOD_SEL1_SIMCARD_A
846 | MOD_SEL1_SDHI2_A
847 | MOD_SEL1_SCIF4_A
848 | MOD_SEL1_SCIF3_A
849 | MOD_SEL1_SCIF2_A
850 | MOD_SEL1_SCIF1_A
851 | MOD_SEL1_SCIF_A
852 | MOD_SEL1_REMOCON_A
853 | MOD_SEL1_RCAN0_A
854 | MOD_SEL1_PWM6_A
855 | MOD_SEL1_PWM5_A
856 | MOD_SEL1_PWM4_A
Marek Vasutbda11cb2018-12-12 17:40:10 +0100857 | MOD_SEL1_PWM3_A
858 | MOD_SEL1_PWM2_A
859 | MOD_SEL1_PWM1_A);
Jorge Ramirez-Ortiz47503d22018-09-23 09:36:52 +0200860 pfc_reg_write(PFC_MOD_SEL2, MOD_SEL2_I2C_5_A
861 | MOD_SEL2_I2C_3_A
862 | MOD_SEL2_I2C_0_A
863 | MOD_SEL2_FM_A
864 | MOD_SEL2_SCIF5_A
865 | MOD_SEL2_I2C6_A
866 | MOD_SEL2_NDF_A
867 | MOD_SEL2_SSI2_A
868 | MOD_SEL2_SSI9_A
869 | MOD_SEL2_TIMER_TMU2_A
Marek Vasutbda11cb2018-12-12 17:40:10 +0100870 | MOD_SEL2_ADG_B_A
871 | MOD_SEL2_ADG_C_A
872 | MOD_SEL2_VIN4_A);
Jorge Ramirez-Ortiz47503d22018-09-23 09:36:52 +0200873
874 /* initialize peripheral function select */
875 pfc_reg_write(PFC_IPSR0, IPSR_28_FUNC(0)
876 | IPSR_24_FUNC(0)
877 | IPSR_20_FUNC(0)
878 | IPSR_16_FUNC(0)
879 | IPSR_12_FUNC(0)
880 | IPSR_8_FUNC(0)
881 | IPSR_4_FUNC(0)
882 | IPSR_0_FUNC(0));
883 pfc_reg_write(PFC_IPSR1, IPSR_28_FUNC(6)
884 | IPSR_24_FUNC(0)
885 | IPSR_20_FUNC(0)
886 | IPSR_16_FUNC(0)
887 | IPSR_12_FUNC(3)
888 | IPSR_8_FUNC(3)
889 | IPSR_4_FUNC(3)
890 | IPSR_0_FUNC(3));
891 pfc_reg_write(PFC_IPSR2, IPSR_28_FUNC(0)
892 | IPSR_24_FUNC(6)
893 | IPSR_20_FUNC(6)
894 | IPSR_16_FUNC(6)
895 | IPSR_12_FUNC(6)
896 | IPSR_8_FUNC(6)
897 | IPSR_4_FUNC(6)
898 | IPSR_0_FUNC(6));
899 pfc_reg_write(PFC_IPSR3, IPSR_28_FUNC(6)
900 | IPSR_24_FUNC(6)
901 | IPSR_20_FUNC(6)
902 | IPSR_16_FUNC(6)
903 | IPSR_12_FUNC(6)
904 | IPSR_8_FUNC(0)
905 | IPSR_4_FUNC(0)
906 | IPSR_0_FUNC(0));
907 pfc_reg_write(PFC_IPSR4, IPSR_28_FUNC(0)
908 | IPSR_24_FUNC(0)
909 | IPSR_20_FUNC(0)
910 | IPSR_16_FUNC(0)
911 | IPSR_12_FUNC(0)
912 | IPSR_8_FUNC(6)
913 | IPSR_4_FUNC(6)
914 | IPSR_0_FUNC(6));
915 pfc_reg_write(PFC_IPSR5, IPSR_28_FUNC(0)
916 | IPSR_24_FUNC(0)
917 | IPSR_20_FUNC(0)
918 | IPSR_16_FUNC(0)
919 | IPSR_12_FUNC(0)
920 | IPSR_8_FUNC(6)
921 | IPSR_4_FUNC(0)
922 | IPSR_0_FUNC(0));
923 pfc_reg_write(PFC_IPSR6, IPSR_28_FUNC(6)
924 | IPSR_24_FUNC(6)
925 | IPSR_20_FUNC(6)
926 | IPSR_16_FUNC(6)
927 | IPSR_12_FUNC(6)
928 | IPSR_8_FUNC(0)
929 | IPSR_4_FUNC(0)
930 | IPSR_0_FUNC(0));
931 pfc_reg_write(PFC_IPSR7, IPSR_28_FUNC(0)
932 | IPSR_24_FUNC(0)
933 | IPSR_20_FUNC(0)
934 | IPSR_16_FUNC(0)
935 | IPSR_12_FUNC(0)
936 | IPSR_8_FUNC(6)
937 | IPSR_4_FUNC(6)
938 | IPSR_0_FUNC(6));
939 pfc_reg_write(PFC_IPSR8, IPSR_28_FUNC(1)
940 | IPSR_24_FUNC(1)
941 | IPSR_20_FUNC(1)
942 | IPSR_16_FUNC(1)
943 | IPSR_12_FUNC(0)
944 | IPSR_8_FUNC(0)
945 | IPSR_4_FUNC(0)
946 | IPSR_0_FUNC(0));
947 pfc_reg_write(PFC_IPSR9, IPSR_28_FUNC(0)
948 | IPSR_24_FUNC(0)
949 | IPSR_20_FUNC(0)
950 | IPSR_16_FUNC(0)
951 | IPSR_12_FUNC(0)
952 | IPSR_8_FUNC(0)
953 | IPSR_4_FUNC(0)
954 | IPSR_0_FUNC(0));
955 pfc_reg_write(PFC_IPSR10, IPSR_28_FUNC(1)
956 | IPSR_24_FUNC(0)
957 | IPSR_20_FUNC(0)
958 | IPSR_16_FUNC(0)
959 | IPSR_12_FUNC(0)
960 | IPSR_8_FUNC(0)
961 | IPSR_4_FUNC(0)
962 | IPSR_0_FUNC(0));
963 pfc_reg_write(PFC_IPSR11, IPSR_28_FUNC(0)
964 | IPSR_24_FUNC(4)
965 | IPSR_20_FUNC(0)
966 | IPSR_16_FUNC(0)
967 | IPSR_12_FUNC(0)
968 | IPSR_8_FUNC(0)
969 | IPSR_4_FUNC(0)
970 | IPSR_0_FUNC(1));
971 pfc_reg_write(PFC_IPSR12, IPSR_28_FUNC(0)
972 | IPSR_24_FUNC(0)
973 | IPSR_20_FUNC(0)
974 | IPSR_16_FUNC(0)
975 | IPSR_12_FUNC(0)
976 | IPSR_8_FUNC(4)
977 | IPSR_4_FUNC(0)
978 | IPSR_0_FUNC(0));
979 pfc_reg_write(PFC_IPSR13, IPSR_28_FUNC(8)
980 | IPSR_24_FUNC(0)
981 | IPSR_20_FUNC(0)
982 | IPSR_16_FUNC(0)
983 | IPSR_12_FUNC(0)
984 | IPSR_8_FUNC(3)
985 | IPSR_4_FUNC(0)
986 | IPSR_0_FUNC(0));
987 pfc_reg_write(PFC_IPSR14, IPSR_28_FUNC(0)
988 | IPSR_24_FUNC(0)
989 | IPSR_20_FUNC(0)
990 | IPSR_16_FUNC(0)
991 | IPSR_12_FUNC(0)
992 | IPSR_8_FUNC(0)
993 | IPSR_4_FUNC(3)
994 | IPSR_0_FUNC(8));
995 pfc_reg_write(PFC_IPSR15, IPSR_28_FUNC(0)
996 | IPSR_24_FUNC(0)
997 | IPSR_20_FUNC(0)
998 | IPSR_16_FUNC(0)
999 | IPSR_12_FUNC(0)
1000 | IPSR_8_FUNC(0)
1001 | IPSR_4_FUNC(0)
1002 | IPSR_0_FUNC(0));
1003 pfc_reg_write(PFC_IPSR16, IPSR_28_FUNC(0)
1004 | IPSR_24_FUNC(0)
1005 | IPSR_20_FUNC(0)
1006 | IPSR_16_FUNC(0)
1007 | IPSR_12_FUNC(0)
1008 | IPSR_8_FUNC(0)
1009 | IPSR_4_FUNC(0)
1010 | IPSR_0_FUNC(0));
1011 pfc_reg_write(PFC_IPSR17, IPSR_28_FUNC(0)
1012 | IPSR_24_FUNC(0)
1013 | IPSR_20_FUNC(0)
1014 | IPSR_16_FUNC(0)
1015 | IPSR_12_FUNC(0)
1016 | IPSR_8_FUNC(0)
1017 | IPSR_4_FUNC(1)
1018 | IPSR_0_FUNC(0));
1019 pfc_reg_write(PFC_IPSR18, IPSR_4_FUNC(0)
1020 | IPSR_0_FUNC(0));
1021
1022 /* initialize GPIO/perihperal function select */
1023 pfc_reg_write(PFC_GPSR0, GPSR0_D15
1024 | GPSR0_D14
1025 | GPSR0_D13
1026 | GPSR0_D12
Marek Vasutbda11cb2018-12-12 17:40:10 +01001027 | GPSR0_D11
1028 | GPSR0_D10
1029 | GPSR0_D9
1030 | GPSR0_D8);
Jorge Ramirez-Ortiz47503d22018-09-23 09:36:52 +02001031 pfc_reg_write(PFC_GPSR1, GPSR1_CLKOUT
1032 | GPSR1_EX_WAIT0_A
1033 | GPSR1_A19
1034 | GPSR1_A18
1035 | GPSR1_A17
1036 | GPSR1_A16
1037 | GPSR1_A15
1038 | GPSR1_A14
1039 | GPSR1_A13
1040 | GPSR1_A12
1041 | GPSR1_A7
1042 | GPSR1_A6
1043 | GPSR1_A5
Marek Vasutbda11cb2018-12-12 17:40:10 +01001044 | GPSR1_A4
1045 | GPSR1_A3
1046 | GPSR1_A2
1047 | GPSR1_A1
1048 | GPSR1_A0);
Jorge Ramirez-Ortiz47503d22018-09-23 09:36:52 +02001049 pfc_reg_write(PFC_GPSR2, GPSR2_AVB_AVTP_CAPTURE_A
1050 | GPSR2_AVB_AVTP_MATCH_A
1051 | GPSR2_AVB_LINK
1052 | GPSR2_AVB_PHY_INT
1053 | GPSR2_AVB_MDC
1054 | GPSR2_PWM2_A
1055 | GPSR2_PWM1_A
1056 | GPSR2_IRQ5
1057 | GPSR2_IRQ4
Marek Vasutbda11cb2018-12-12 17:40:10 +01001058 | GPSR2_IRQ3
1059 | GPSR2_IRQ2
1060 | GPSR2_IRQ1
1061 | GPSR2_IRQ0);
Jorge Ramirez-Ortiz47503d22018-09-23 09:36:52 +02001062 pfc_reg_write(PFC_GPSR3, GPSR3_SD0_WP
1063 | GPSR3_SD0_CD
1064 | GPSR3_SD1_DAT3
1065 | GPSR3_SD1_DAT2
1066 | GPSR3_SD1_DAT1
1067 | GPSR3_SD1_DAT0
1068 | GPSR3_SD0_DAT3
1069 | GPSR3_SD0_DAT2
1070 | GPSR3_SD0_DAT1
Marek Vasutbda11cb2018-12-12 17:40:10 +01001071 | GPSR3_SD0_DAT0
1072 | GPSR3_SD0_CMD
1073 | GPSR3_SD0_CLK);
Jorge Ramirez-Ortiz47503d22018-09-23 09:36:52 +02001074 pfc_reg_write(PFC_GPSR4, GPSR4_SD3_DAT7
1075 | GPSR4_SD3_DAT6
1076 | GPSR4_SD3_DAT3
1077 | GPSR4_SD3_DAT2
1078 | GPSR4_SD3_DAT1
1079 | GPSR4_SD3_DAT0
1080 | GPSR4_SD3_CMD
1081 | GPSR4_SD3_CLK
1082 | GPSR4_SD2_DS
1083 | GPSR4_SD2_DAT3
1084 | GPSR4_SD2_DAT2
1085 | GPSR4_SD2_DAT1
Marek Vasutbda11cb2018-12-12 17:40:10 +01001086 | GPSR4_SD2_DAT0
1087 | GPSR4_SD2_CMD
1088 | GPSR4_SD2_CLK);
Jorge Ramirez-Ortiz47503d22018-09-23 09:36:52 +02001089 pfc_reg_write(PFC_GPSR5, GPSR5_MSIOF0_SS2
1090 | GPSR5_MSIOF0_SS1
1091 | GPSR5_MSIOF0_SYNC
1092 | GPSR5_HRTS0
1093 | GPSR5_HCTS0
1094 | GPSR5_HTX0
1095 | GPSR5_HRX0
1096 | GPSR5_HSCK0
1097 | GPSR5_RX2_A
1098 | GPSR5_TX2_A
1099 | GPSR5_SCK2
1100 | GPSR5_RTS1_TANS
1101 | GPSR5_CTS1
1102 | GPSR5_TX1_A
Marek Vasutbda11cb2018-12-12 17:40:10 +01001103 | GPSR5_RX1_A
1104 | GPSR5_RTS0_TANS
1105 | GPSR5_SCK0);
Jorge Ramirez-Ortiz47503d22018-09-23 09:36:52 +02001106 pfc_reg_write(PFC_GPSR6, GPSR6_USB30_OVC
1107 | GPSR6_USB30_PWEN
1108 | GPSR6_USB1_OVC
1109 | GPSR6_USB1_PWEN
1110 | GPSR6_USB0_OVC
1111 | GPSR6_USB0_PWEN
1112 | GPSR6_AUDIO_CLKB_B
1113 | GPSR6_AUDIO_CLKA_A
1114 | GPSR6_SSI_SDATA8
1115 | GPSR6_SSI_SDATA7
1116 | GPSR6_SSI_WS78
1117 | GPSR6_SSI_SCK78
1118 | GPSR6_SSI_WS6
1119 | GPSR6_SSI_SCK6
1120 | GPSR6_SSI_SDATA4
1121 | GPSR6_SSI_WS4
1122 | GPSR6_SSI_SCK4
1123 | GPSR6_SSI_SDATA1_A
1124 | GPSR6_SSI_SDATA0
Marek Vasutbda11cb2018-12-12 17:40:10 +01001125 | GPSR6_SSI_WS0129
1126 | GPSR6_SSI_SCK0129);
Jorge Ramirez-Ortiz47503d22018-09-23 09:36:52 +02001127 pfc_reg_write(PFC_GPSR7, GPSR7_HDMI1_CEC
Marek Vasutbda11cb2018-12-12 17:40:10 +01001128 | GPSR7_HDMI0_CEC
1129 | GPSR7_AVS2
1130 | GPSR7_AVS1);
Jorge Ramirez-Ortiz47503d22018-09-23 09:36:52 +02001131
1132 /* initialize POC control register */
1133 pfc_reg_write(PFC_POCCTRL0, POC_SD3_DS_33V
1134 | POC_SD3_DAT7_33V
1135 | POC_SD3_DAT6_33V
1136 | POC_SD3_DAT5_33V
1137 | POC_SD3_DAT4_33V
1138 | POC_SD3_DAT3_33V
1139 | POC_SD3_DAT2_33V
1140 | POC_SD3_DAT1_33V
1141 | POC_SD3_DAT0_33V
1142 | POC_SD3_CMD_33V
1143 | POC_SD3_CLK_33V
1144 | POC_SD0_DAT3_33V
1145 | POC_SD0_DAT2_33V
1146 | POC_SD0_DAT1_33V
Marek Vasutbda11cb2018-12-12 17:40:10 +01001147 | POC_SD0_DAT0_33V
1148 | POC_SD0_CMD_33V
1149 | POC_SD0_CLK_33V);
Jorge Ramirez-Ortiz47503d22018-09-23 09:36:52 +02001150
1151 /* initialize DRV control register */
1152 reg = mmio_read_32(PFC_DRVCTRL0);
1153 reg = ((reg & DRVCTRL0_MASK) | DRVCTRL0_QSPI0_SPCLK(3)
1154 | DRVCTRL0_QSPI0_MOSI_IO0(3)
1155 | DRVCTRL0_QSPI0_MISO_IO1(3)
1156 | DRVCTRL0_QSPI0_IO2(3)
1157 | DRVCTRL0_QSPI0_IO3(3)
1158 | DRVCTRL0_QSPI0_SSL(3)
1159 | DRVCTRL0_QSPI1_SPCLK(3)
1160 | DRVCTRL0_QSPI1_MOSI_IO0(3));
1161 pfc_reg_write(PFC_DRVCTRL0, reg);
1162 reg = mmio_read_32(PFC_DRVCTRL1);
1163 reg = ((reg & DRVCTRL1_MASK) | DRVCTRL1_QSPI1_MISO_IO1(3)
1164 | DRVCTRL1_QSPI1_IO2(3)
1165 | DRVCTRL1_QSPI1_IO3(3)
1166 | DRVCTRL1_QSPI1_SS(3)
1167 | DRVCTRL1_RPC_INT(3)
1168 | DRVCTRL1_RPC_WP(3)
1169 | DRVCTRL1_RPC_RESET(3)
1170 | DRVCTRL1_AVB_RX_CTL(7));
1171 pfc_reg_write(PFC_DRVCTRL1, reg);
1172 reg = mmio_read_32(PFC_DRVCTRL2);
1173 reg = ((reg & DRVCTRL2_MASK) | DRVCTRL2_AVB_RXC(7)
1174 | DRVCTRL2_AVB_RD0(7)
1175 | DRVCTRL2_AVB_RD1(7)
1176 | DRVCTRL2_AVB_RD2(7)
1177 | DRVCTRL2_AVB_RD3(7)
1178 | DRVCTRL2_AVB_TX_CTL(3)
1179 | DRVCTRL2_AVB_TXC(3)
1180 | DRVCTRL2_AVB_TD0(3));
1181 pfc_reg_write(PFC_DRVCTRL2, reg);
1182 reg = mmio_read_32(PFC_DRVCTRL3);
1183 reg = ((reg & DRVCTRL3_MASK) | DRVCTRL3_AVB_TD1(3)
1184 | DRVCTRL3_AVB_TD2(3)
1185 | DRVCTRL3_AVB_TD3(3)
1186 | DRVCTRL3_AVB_TXCREFCLK(7)
1187 | DRVCTRL3_AVB_MDIO(7)
1188 | DRVCTRL3_AVB_MDC(7)
1189 | DRVCTRL3_AVB_MAGIC(7)
1190 | DRVCTRL3_AVB_PHY_INT(7));
1191 pfc_reg_write(PFC_DRVCTRL3, reg);
1192 reg = mmio_read_32(PFC_DRVCTRL4);
1193 reg = ((reg & DRVCTRL4_MASK) | DRVCTRL4_AVB_LINK(7)
1194 | DRVCTRL4_AVB_AVTP_MATCH(7)
1195 | DRVCTRL4_AVB_AVTP_CAPTURE(7)
1196 | DRVCTRL4_IRQ0(7)
1197 | DRVCTRL4_IRQ1(7)
1198 | DRVCTRL4_IRQ2(7)
1199 | DRVCTRL4_IRQ3(7)
1200 | DRVCTRL4_IRQ4(7));
1201 pfc_reg_write(PFC_DRVCTRL4, reg);
1202 reg = mmio_read_32(PFC_DRVCTRL5);
1203 reg = ((reg & DRVCTRL5_MASK) | DRVCTRL5_IRQ5(7)
1204 | DRVCTRL5_PWM0(7)
1205 | DRVCTRL5_PWM1(7)
1206 | DRVCTRL5_PWM2(7)
1207 | DRVCTRL5_A0(3)
1208 | DRVCTRL5_A1(3)
1209 | DRVCTRL5_A2(3)
1210 | DRVCTRL5_A3(3));
1211 pfc_reg_write(PFC_DRVCTRL5, reg);
1212 reg = mmio_read_32(PFC_DRVCTRL6);
1213 reg = ((reg & DRVCTRL6_MASK) | DRVCTRL6_A4(3)
1214 | DRVCTRL6_A5(3)
1215 | DRVCTRL6_A6(3)
1216 | DRVCTRL6_A7(3)
1217 | DRVCTRL6_A8(7)
1218 | DRVCTRL6_A9(7)
1219 | DRVCTRL6_A10(7)
1220 | DRVCTRL6_A11(7));
1221 pfc_reg_write(PFC_DRVCTRL6, reg);
1222 reg = mmio_read_32(PFC_DRVCTRL7);
1223 reg = ((reg & DRVCTRL7_MASK) | DRVCTRL7_A12(3)
1224 | DRVCTRL7_A13(3)
1225 | DRVCTRL7_A14(3)
1226 | DRVCTRL7_A15(3)
1227 | DRVCTRL7_A16(3)
1228 | DRVCTRL7_A17(3)
1229 | DRVCTRL7_A18(3)
1230 | DRVCTRL7_A19(3));
1231 pfc_reg_write(PFC_DRVCTRL7, reg);
1232 reg = mmio_read_32(PFC_DRVCTRL8);
1233 reg = ((reg & DRVCTRL8_MASK) | DRVCTRL8_CLKOUT(7)
1234 | DRVCTRL8_CS0(7)
1235 | DRVCTRL8_CS1_A2(7)
1236 | DRVCTRL8_BS(7)
1237 | DRVCTRL8_RD(7)
1238 | DRVCTRL8_RD_W(7)
1239 | DRVCTRL8_WE0(7)
1240 | DRVCTRL8_WE1(7));
1241 pfc_reg_write(PFC_DRVCTRL8, reg);
1242 reg = mmio_read_32(PFC_DRVCTRL9);
1243 reg = ((reg & DRVCTRL9_MASK) | DRVCTRL9_EX_WAIT0(7)
1244 | DRVCTRL9_PRESETOU(7)
1245 | DRVCTRL9_D0(7)
1246 | DRVCTRL9_D1(7)
1247 | DRVCTRL9_D2(7)
1248 | DRVCTRL9_D3(7)
1249 | DRVCTRL9_D4(7)
1250 | DRVCTRL9_D5(7));
1251 pfc_reg_write(PFC_DRVCTRL9, reg);
1252 reg = mmio_read_32(PFC_DRVCTRL10);
1253 reg = ((reg & DRVCTRL10_MASK) | DRVCTRL10_D6(7)
1254 | DRVCTRL10_D7(7)
1255 | DRVCTRL10_D8(3)
1256 | DRVCTRL10_D9(3)
1257 | DRVCTRL10_D10(3)
1258 | DRVCTRL10_D11(3)
1259 | DRVCTRL10_D12(3)
1260 | DRVCTRL10_D13(3));
1261 pfc_reg_write(PFC_DRVCTRL10, reg);
1262 reg = mmio_read_32(PFC_DRVCTRL11);
1263 reg = ((reg & DRVCTRL11_MASK) | DRVCTRL11_D14(3)
1264 | DRVCTRL11_D15(3)
1265 | DRVCTRL11_AVS1(7)
1266 | DRVCTRL11_AVS2(7)
1267 | DRVCTRL11_HDMI0_CEC(7)
1268 | DRVCTRL11_HDMI1_CEC(7)
1269 | DRVCTRL11_DU_DOTCLKIN0(3)
1270 | DRVCTRL11_DU_DOTCLKIN1(3));
1271 pfc_reg_write(PFC_DRVCTRL11, reg);
1272 reg = mmio_read_32(PFC_DRVCTRL12);
1273 reg = ((reg & DRVCTRL12_MASK) | DRVCTRL12_DU_DOTCLKIN2(3)
1274 | DRVCTRL12_DU_DOTCLKIN3(3)
1275 | DRVCTRL12_DU_FSCLKST(3)
1276 | DRVCTRL12_DU_TMS(3));
1277 pfc_reg_write(PFC_DRVCTRL12, reg);
1278 reg = mmio_read_32(PFC_DRVCTRL13);
1279 reg = ((reg & DRVCTRL13_MASK) | DRVCTRL13_TDO(3)
1280 | DRVCTRL13_ASEBRK(3)
1281 | DRVCTRL13_SD0_CLK(7)
1282 | DRVCTRL13_SD0_CMD(7)
1283 | DRVCTRL13_SD0_DAT0(7)
1284 | DRVCTRL13_SD0_DAT1(7)
1285 | DRVCTRL13_SD0_DAT2(7)
1286 | DRVCTRL13_SD0_DAT3(7));
1287 pfc_reg_write(PFC_DRVCTRL13, reg);
1288 reg = mmio_read_32(PFC_DRVCTRL14);
1289 reg = ((reg & DRVCTRL14_MASK) | DRVCTRL14_SD1_CLK(7)
1290 | DRVCTRL14_SD1_CMD(7)
1291 | DRVCTRL14_SD1_DAT0(5)
1292 | DRVCTRL14_SD1_DAT1(5)
1293 | DRVCTRL14_SD1_DAT2(5)
1294 | DRVCTRL14_SD1_DAT3(5)
1295 | DRVCTRL14_SD2_CLK(5)
1296 | DRVCTRL14_SD2_CMD(5));
1297 pfc_reg_write(PFC_DRVCTRL14, reg);
1298 reg = mmio_read_32(PFC_DRVCTRL15);
1299 reg = ((reg & DRVCTRL15_MASK) | DRVCTRL15_SD2_DAT0(5)
1300 | DRVCTRL15_SD2_DAT1(5)
1301 | DRVCTRL15_SD2_DAT2(5)
1302 | DRVCTRL15_SD2_DAT3(5)
1303 | DRVCTRL15_SD2_DS(5)
1304 | DRVCTRL15_SD3_CLK(7)
1305 | DRVCTRL15_SD3_CMD(7)
1306 | DRVCTRL15_SD3_DAT0(7));
1307 pfc_reg_write(PFC_DRVCTRL15, reg);
1308 reg = mmio_read_32(PFC_DRVCTRL16);
1309 reg = ((reg & DRVCTRL16_MASK) | DRVCTRL16_SD3_DAT1(7)
1310 | DRVCTRL16_SD3_DAT2(7)
1311 | DRVCTRL16_SD3_DAT3(7)
1312 | DRVCTRL16_SD3_DAT4(7)
1313 | DRVCTRL16_SD3_DAT5(7)
1314 | DRVCTRL16_SD3_DAT6(7)
1315 | DRVCTRL16_SD3_DAT7(7)
1316 | DRVCTRL16_SD3_DS(7));
1317 pfc_reg_write(PFC_DRVCTRL16, reg);
1318 reg = mmio_read_32(PFC_DRVCTRL17);
1319 reg = ((reg & DRVCTRL17_MASK) | DRVCTRL17_SD0_CD(7)
1320 | DRVCTRL17_SD0_WP(7)
1321 | DRVCTRL17_SD1_CD(7)
1322 | DRVCTRL17_SD1_WP(7)
1323 | DRVCTRL17_SCK0(7)
1324 | DRVCTRL17_RX0(7)
1325 | DRVCTRL17_TX0(7)
1326 | DRVCTRL17_CTS0(7));
1327 pfc_reg_write(PFC_DRVCTRL17, reg);
1328 reg = mmio_read_32(PFC_DRVCTRL18);
1329 reg = ((reg & DRVCTRL18_MASK) | DRVCTRL18_RTS0_TANS(7)
1330 | DRVCTRL18_RX1(7)
1331 | DRVCTRL18_TX1(7)
1332 | DRVCTRL18_CTS1(7)
1333 | DRVCTRL18_RTS1_TANS(7)
1334 | DRVCTRL18_SCK2(7)
1335 | DRVCTRL18_TX2(7)
1336 | DRVCTRL18_RX2(7));
1337 pfc_reg_write(PFC_DRVCTRL18, reg);
1338 reg = mmio_read_32(PFC_DRVCTRL19);
1339 reg = ((reg & DRVCTRL19_MASK) | DRVCTRL19_HSCK0(7)
1340 | DRVCTRL19_HRX0(7)
1341 | DRVCTRL19_HTX0(7)
1342 | DRVCTRL19_HCTS0(7)
1343 | DRVCTRL19_HRTS0(7)
1344 | DRVCTRL19_MSIOF0_SCK(7)
1345 | DRVCTRL19_MSIOF0_SYNC(7)
1346 | DRVCTRL19_MSIOF0_SS1(7));
1347 pfc_reg_write(PFC_DRVCTRL19, reg);
1348 reg = mmio_read_32(PFC_DRVCTRL20);
1349 reg = ((reg & DRVCTRL20_MASK) | DRVCTRL20_MSIOF0_TXD(7)
1350 | DRVCTRL20_MSIOF0_SS2(7)
1351 | DRVCTRL20_MSIOF0_RXD(7)
1352 | DRVCTRL20_MLB_CLK(7)
1353 | DRVCTRL20_MLB_SIG(7)
1354 | DRVCTRL20_MLB_DAT(7)
1355 | DRVCTRL20_MLB_REF(7)
1356 | DRVCTRL20_SSI_SCK0129(7));
1357 pfc_reg_write(PFC_DRVCTRL20, reg);
1358 reg = mmio_read_32(PFC_DRVCTRL21);
1359 reg = ((reg & DRVCTRL21_MASK) | DRVCTRL21_SSI_WS0129(7)
1360 | DRVCTRL21_SSI_SDATA0(7)
1361 | DRVCTRL21_SSI_SDATA1(7)
1362 | DRVCTRL21_SSI_SDATA2(7)
1363 | DRVCTRL21_SSI_SCK34(7)
1364 | DRVCTRL21_SSI_WS34(7)
1365 | DRVCTRL21_SSI_SDATA3(7)
1366 | DRVCTRL21_SSI_SCK4(7));
1367 pfc_reg_write(PFC_DRVCTRL21, reg);
1368 reg = mmio_read_32(PFC_DRVCTRL22);
1369 reg = ((reg & DRVCTRL22_MASK) | DRVCTRL22_SSI_WS4(7)
1370 | DRVCTRL22_SSI_SDATA4(7)
1371 | DRVCTRL22_SSI_SCK5(7)
1372 | DRVCTRL22_SSI_WS5(7)
1373 | DRVCTRL22_SSI_SDATA5(7)
1374 | DRVCTRL22_SSI_SCK6(7)
1375 | DRVCTRL22_SSI_WS6(7)
1376 | DRVCTRL22_SSI_SDATA6(7));
1377 pfc_reg_write(PFC_DRVCTRL22, reg);
1378 reg = mmio_read_32(PFC_DRVCTRL23);
1379 reg = ((reg & DRVCTRL23_MASK) | DRVCTRL23_SSI_SCK78(7)
1380 | DRVCTRL23_SSI_WS78(7)
1381 | DRVCTRL23_SSI_SDATA7(7)
1382 | DRVCTRL23_SSI_SDATA8(7)
1383 | DRVCTRL23_SSI_SDATA9(7)
1384 | DRVCTRL23_AUDIO_CLKA(7)
1385 | DRVCTRL23_AUDIO_CLKB(7)
1386 | DRVCTRL23_USB0_PWEN(7));
1387 pfc_reg_write(PFC_DRVCTRL23, reg);
1388 reg = mmio_read_32(PFC_DRVCTRL24);
1389 reg = ((reg & DRVCTRL24_MASK) | DRVCTRL24_USB0_OVC(7)
1390 | DRVCTRL24_USB1_PWEN(7)
1391 | DRVCTRL24_USB1_OVC(7)
1392 | DRVCTRL24_USB30_PWEN(7)
1393 | DRVCTRL24_USB30_OVC(7)
1394 | DRVCTRL24_USB31_PWEN(7)
1395 | DRVCTRL24_USB31_OVC(7));
1396 pfc_reg_write(PFC_DRVCTRL24, reg);
1397
1398 /* initialize LSI pin pull-up/down control */
1399 pfc_reg_write(PFC_PUD0, 0x00005FBFU);
1400 pfc_reg_write(PFC_PUD1, 0x00300FFEU);
1401 pfc_reg_write(PFC_PUD2, 0x330001E6U);
1402 pfc_reg_write(PFC_PUD3, 0x000002E0U);
1403 pfc_reg_write(PFC_PUD4, 0xFFFFFF00U);
1404 pfc_reg_write(PFC_PUD5, 0x7F5FFF87U);
1405 pfc_reg_write(PFC_PUD6, 0x00000055U);
1406
1407 /* initialize LSI pin pull-enable register */
1408 pfc_reg_write(PFC_PUEN0, 0x00000FFFU);
1409 pfc_reg_write(PFC_PUEN1, 0x00100234U);
1410 pfc_reg_write(PFC_PUEN2, 0x000004C4U);
1411 pfc_reg_write(PFC_PUEN3, 0x00000200U);
1412 pfc_reg_write(PFC_PUEN4, 0x3E000000U);
1413 pfc_reg_write(PFC_PUEN5, 0x1F000805U);
1414 pfc_reg_write(PFC_PUEN6, 0x00000006U);
1415
1416 /* initialize positive/negative logic select */
1417 mmio_write_32(GPIO_POSNEG0, 0x00000000U);
1418 mmio_write_32(GPIO_POSNEG1, 0x00000000U);
1419 mmio_write_32(GPIO_POSNEG2, 0x00000000U);
1420 mmio_write_32(GPIO_POSNEG3, 0x00000000U);
1421 mmio_write_32(GPIO_POSNEG4, 0x00000000U);
1422 mmio_write_32(GPIO_POSNEG5, 0x00000000U);
1423 mmio_write_32(GPIO_POSNEG6, 0x00000000U);
1424
1425 /* initialize general IO/interrupt switching */
1426 mmio_write_32(GPIO_IOINTSEL0, 0x00000000U);
1427 mmio_write_32(GPIO_IOINTSEL1, 0x00000000U);
1428 mmio_write_32(GPIO_IOINTSEL2, 0x00000000U);
1429 mmio_write_32(GPIO_IOINTSEL3, 0x00000000U);
1430 mmio_write_32(GPIO_IOINTSEL4, 0x00000000U);
1431 mmio_write_32(GPIO_IOINTSEL5, 0x00000000U);
1432 mmio_write_32(GPIO_IOINTSEL6, 0x00000000U);
1433
1434 /* initialize general output register */
1435 mmio_write_32(GPIO_OUTDT1, 0x00000000U);
1436 mmio_write_32(GPIO_OUTDT2, 0x00000400U);
1437 mmio_write_32(GPIO_OUTDT3, 0x0000C000U);
1438 mmio_write_32(GPIO_OUTDT5, 0x00000006U);
1439 mmio_write_32(GPIO_OUTDT6, 0x00003880U);
1440
1441 /* initialize general input/output switching */
1442 mmio_write_32(GPIO_INOUTSEL0, 0x00000000U);
1443 mmio_write_32(GPIO_INOUTSEL1, 0x01000A00U);
1444 mmio_write_32(GPIO_INOUTSEL2, 0x00000400U);
1445 mmio_write_32(GPIO_INOUTSEL3, 0x0000C000U);
1446 mmio_write_32(GPIO_INOUTSEL4, 0x00000000U);
1447 mmio_write_32(GPIO_INOUTSEL5, 0x0000020EU);
1448 mmio_write_32(GPIO_INOUTSEL6, 0x00013880U);
1449}