blob: b7b1754374cb7e04a447e7984f35c5f8de329348 [file] [log] [blame]
Marek Vasut6f4984c2018-06-14 06:26:45 +02001/*
2 * Copyright (c) 2017, Renesas Electronics Corporation. All rights reserved.
3 *
4 * SPDX-License-Identifier: BSD-3-Clause
5 */
6
Marek Vasutb4bd2422019-06-17 19:29:03 +02007#ifndef PFC_INIT_D3_H
8#define PFC_INIT_D3_H
Marek Vasut6f4984c2018-06-14 06:26:45 +02009
10void pfc_init_d3(void);
11
Marek Vasutb4bd2422019-06-17 19:29:03 +020012#endif /* PFC_INIT_D3_H */